From c3e959b81d963aa00e9ffa6a40525e3ac7d8d077 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Sun, 22 Jul 2018 07:14:34 +0100 Subject: [PATCH] tidyup output --- src/bsv/bsv_lib/slow_peripherals_template.bsv | 18 ++++++++--------- src/bsv/peripheral_gen.py | 20 +++++++++---------- 2 files changed, 18 insertions(+), 20 deletions(-) diff --git a/src/bsv/bsv_lib/slow_peripherals_template.bsv b/src/bsv/bsv_lib/slow_peripherals_template.bsv index 76164a3..b49f807 100644 --- a/src/bsv/bsv_lib/slow_peripherals_template.bsv +++ b/src/bsv/bsv_lib/slow_peripherals_template.bsv @@ -175,7 +175,7 @@ package slow_peripherals; end `endif - /*======================================================= */ + /*======================================================= */ /* ===== interface definition =======*/ interface axi_slave=bridge.axi_slave; @@ -189,7 +189,7 @@ package slow_peripherals; {12} `endif interface SP_dedicated_ios slow_ios; -/* template for dedicated peripherals + /* template for dedicated peripherals `ifdef UART0 interface uart0_coe=uart0.coe_rs232; `endif @@ -212,16 +212,14 @@ package slow_peripherals; interface axiexp1_out=axiexp1.slave_out; interface axiexp1_in=axiexp1.slave_in; `endif - `ifdef PWM_AXI4Lite - interface pwm_o = pwm_bus.pwm_io; - `endif -*/ + `ifdef PWM_AXI4Lite + interface pwm_o = pwm_bus.pwm_io; + `endif + */ endinterface - // NEEL EDIT - interface iocell_side=pinmux.iocell_side; - interface pad_config0= gpioa.pad_config; + interface iocell_side=pinmux.iocell_side; + interface pad_config0= gpioa.pad_config; {9} - // NEEL EDIT OVER /*===================================*/ endmodule endpackage diff --git a/src/bsv/peripheral_gen.py b/src/bsv/peripheral_gen.py index 5d2d98a..15d0295 100644 --- a/src/bsv/peripheral_gen.py +++ b/src/bsv/peripheral_gen.py @@ -453,16 +453,16 @@ class jtag(PBase): return jtag_method_template # bit of a lazy hack this... jtag_method_template = """\ - method Action jtag_ms (Bit#(1) in); - pinmux.peripheral_side.jtag_ms(in); - endmethod - method Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di; - method Action jtag_do (Bit#(1) in); - pinmux.peripheral_side.jtag_do(in); - endmethod - method Action jtag_ck (Bit#(1) in); - pinmux.peripheral_side.jtag_ck(in); - endmethod + method Action jtag_ms (Bit#(1) in); + pinmux.peripheral_side.jtag_ms(in); + endmethod + method Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di; + method Action jtag_do (Bit#(1) in); + pinmux.peripheral_side.jtag_do(in); + endmethod + method Action jtag_ck (Bit#(1) in); + pinmux.peripheral_side.jtag_ck(in); + endmethod """ class sdmmc(PBase): -- 2.30.2