From d4b65988667400ddf4201aaeae13f238b9aa6fc3 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 11 Feb 2022 13:22:12 +0000 Subject: [PATCH] whoops wrong constraints file --- Makefile | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index c950b76..22bd160 100644 --- a/Makefile +++ b/Makefile @@ -161,7 +161,7 @@ ifeq ($(FPGA_TARGET), ULX3S) RESET_LOW=true CLK_INPUT=25000000 CLK_FREQUENCY=25000000 -LPF=constraints/orange-crab.lpf +LPF=constraints/ulx3s.lpf PACKAGE=CABGA381 NEXTPNR_FLAGS=--um5g-85k --freq 25 OPENOCD_JTAG_CONFIG=openocd/ulx3s.cfg @@ -258,7 +258,8 @@ microwatt-verilator: microwatt.v verilator/microwatt-verilator.cpp verilator/uar @cp -f obj_dir/microwatt-verilator microwatt-verilator microwatt_out.config: microwatt.json $(LPF) - $(NEXTPNR) --json $< --lpf $(LPF) --textcfg $@.tmp $(NEXTPNR_FLAGS) --package $(PACKAGE) + $(NEXTPNR) --json $< --lpf $(LPF) --lpf-allow-unconstrained \ + --textcfg $@.tmp $(NEXTPNR_FLAGS) --package $(PACKAGE) mv -f $@.tmp $@ microwatt.bit: microwatt_out.config -- 2.30.2