From d9302c07488ded9ede1ddf171774ac8563dec63a Mon Sep 17 00:00:00 2001 From: whitequark Date: Thu, 2 Jul 2020 18:13:54 +0000 Subject: [PATCH] vendor: `yosys` is not a required tool for proprietary toolchains. Since commit b9799b4c, the discovery mechanism for the Yosys required to produce Verilog is different from the usual require_tool(); namely it is possible to produce Verilog without a `yosys` binary on PATH. Fixes #419. --- nmigen/vendor/lattice_ecp5.py | 1 - nmigen/vendor/lattice_ice40.py | 1 - nmigen/vendor/lattice_machxo_2_3l.py | 1 - nmigen/vendor/xilinx_7series.py | 5 +---- nmigen/vendor/xilinx_spartan_3_6.py | 1 - nmigen/vendor/xilinx_ultrascale.py | 5 +---- 6 files changed, 2 insertions(+), 12 deletions(-) diff --git a/nmigen/vendor/lattice_ecp5.py b/nmigen/vendor/lattice_ecp5.py index cfa20ee..c1a1366 100644 --- a/nmigen/vendor/lattice_ecp5.py +++ b/nmigen/vendor/lattice_ecp5.py @@ -176,7 +176,6 @@ class LatticeECP5Platform(TemplatedPlatform): # Diamond templates _diamond_required_tools = [ - "yosys", "pnmainc", "ddtcmd" ] diff --git a/nmigen/vendor/lattice_ice40.py b/nmigen/vendor/lattice_ice40.py index fcfa1c0..da490a8 100644 --- a/nmigen/vendor/lattice_ice40.py +++ b/nmigen/vendor/lattice_ice40.py @@ -172,7 +172,6 @@ class LatticeICE40Platform(TemplatedPlatform): # iCECube2 templates _icecube2_required_tools = [ - "yosys", "synthesis", "synpwrap", "tclsh", diff --git a/nmigen/vendor/lattice_machxo_2_3l.py b/nmigen/vendor/lattice_machxo_2_3l.py index 0eb348e..b94d26d 100644 --- a/nmigen/vendor/lattice_machxo_2_3l.py +++ b/nmigen/vendor/lattice_machxo_2_3l.py @@ -39,7 +39,6 @@ class LatticeMachXO2Or3LPlatform(TemplatedPlatform): grade = "C" # [C]ommercial, [I]ndustrial required_tools = [ - "yosys", "pnmainc", "ddtcmd" ] diff --git a/nmigen/vendor/xilinx_7series.py b/nmigen/vendor/xilinx_7series.py index c9b44f1..0bf5424 100644 --- a/nmigen/vendor/xilinx_7series.py +++ b/nmigen/vendor/xilinx_7series.py @@ -53,10 +53,7 @@ class Xilinx7SeriesPlatform(TemplatedPlatform): speed = abstractproperty() grade = None - required_tools = [ - "yosys", - "vivado" - ] + required_tools = ["vivado"] file_templates = { **TemplatedPlatform.build_script_templates, "build_{{name}}.sh": r""" diff --git a/nmigen/vendor/xilinx_spartan_3_6.py b/nmigen/vendor/xilinx_spartan_3_6.py index a4b4d99..0de16d2 100644 --- a/nmigen/vendor/xilinx_spartan_3_6.py +++ b/nmigen/vendor/xilinx_spartan_3_6.py @@ -59,7 +59,6 @@ class XilinxSpartan3Or6Platform(TemplatedPlatform): speed = abstractproperty() required_tools = [ - "yosys", "xst", "ngdbuild", "map", diff --git a/nmigen/vendor/xilinx_ultrascale.py b/nmigen/vendor/xilinx_ultrascale.py index c144229..6f90517 100644 --- a/nmigen/vendor/xilinx_ultrascale.py +++ b/nmigen/vendor/xilinx_ultrascale.py @@ -53,10 +53,7 @@ class XilinxUltraScalePlatform(TemplatedPlatform): speed = abstractproperty() grade = None - required_tools = [ - "yosys", - "vivado" - ] + required_tools = ["vivado"] file_templates = { **TemplatedPlatform.build_script_templates, "build_{{name}}.sh": r""" -- 2.30.2