From e9bfddc8c5bb7624c2b14d2cb58d7a19948c5cc4 Mon Sep 17 00:00:00 2001 From: whitequark Date: Wed, 21 Aug 2019 21:31:19 +0000 Subject: [PATCH] vendor: style. NFC. --- nmigen/vendor/lattice_ecp5.py | 6 +++--- nmigen/vendor/lattice_ice40.py | 4 ++-- nmigen/vendor/xilinx_7series.py | 2 +- nmigen/vendor/xilinx_spartan_3_6.py | 10 +++++----- 4 files changed, 11 insertions(+), 11 deletions(-) diff --git a/nmigen/vendor/lattice_ecp5.py b/nmigen/vendor/lattice_ecp5.py index d22a583..0a31919 100644 --- a/nmigen/vendor/lattice_ecp5.py +++ b/nmigen/vendor/lattice_ecp5.py @@ -23,9 +23,9 @@ class LatticeECP5Platform(TemplatedPlatform): * ``synth_opts``: adds options for ``synth_ecp5`` Yosys command. * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script. * ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script. - * ``yosys_opts``: adds extra options for Yosys. - * ``nextpnr_opts``: adds extra options for nextpnr. - * ``ecppack_opts``: adds extra options for ecppack. + * ``yosys_opts``: adds extra options for ``yosys``. + * ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``. + * ``ecppack_opts``: adds extra options for ``ecppack``. Build products: * ``{{name}}.rpt``: Yosys log. diff --git a/nmigen/vendor/lattice_ice40.py b/nmigen/vendor/lattice_ice40.py index 1553bb4..45aaac4 100644 --- a/nmigen/vendor/lattice_ice40.py +++ b/nmigen/vendor/lattice_ice40.py @@ -23,8 +23,8 @@ class LatticeICE40Platform(TemplatedPlatform): * ``synth_opts``: adds options for ``synth_ice40`` Yosys command. * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script. * ``script_after_synth``: inserts commands after ``synth_ice40`` in Yosys script. - * ``yosys_opts``: adds extra options for Yosys. - * ``nextpnr_opts``: adds extra and overrides default options for nextpnr; + * ``yosys_opts``: adds extra options for ``yosys``. + * ``nextpnr_opts``: adds extra and overrides default options for ``nextpnr-ice40``; default options: ``--placer heap``. Build products: diff --git a/nmigen/vendor/xilinx_7series.py b/nmigen/vendor/xilinx_7series.py index 02cfee9..26b18ec 100644 --- a/nmigen/vendor/xilinx_7series.py +++ b/nmigen/vendor/xilinx_7series.py @@ -23,7 +23,7 @@ class Xilinx7SeriesPlatform(TemplatedPlatform): * ``script_before_bitstream``: inserts commands before ``write_bitstream`` in Tcl script. * ``script_after_bitstream``: inserts commands after ``write_bitstream`` in Tcl script. * ``add_constraints``: inserts commands in XDC file. - * ``vivado_opts``: adds extra options for Vivado. + * ``vivado_opts``: adds extra options for ``vivado``. Build products: * ``{{name}}.log``: Vivado log. diff --git a/nmigen/vendor/xilinx_spartan_3_6.py b/nmigen/vendor/xilinx_spartan_3_6.py index ffda165..bda5116 100644 --- a/nmigen/vendor/xilinx_spartan_3_6.py +++ b/nmigen/vendor/xilinx_spartan_3_6.py @@ -26,11 +26,11 @@ class XilinxSpartan3Or6Platform(TemplatedPlatform): Available overrides: * ``script_after_run``: inserts commands after ``run`` in XST script. * ``add_constraints``: inserts commands in UCF file. - * ``xst_opts``: adds extra options for XST. - * ``ngdbuild_opts``: adds extra options for NGDBuild. - * ``map_opts``: adds extra options for MAP. - * ``par_opts``: adds extra options for PAR. - * ``bitgen_opts``: adds extra and overrides default options for BitGen; + * ``xst_opts``: adds extra options for ``xst``. + * ``ngdbuild_opts``: adds extra options for ``ngdbuild``. + * ``map_opts``: adds extra options for ``map``. + * ``par_opts``: adds extra options for ``par``. + * ``bitgen_opts``: adds extra and overrides default options for ``bitgen``; default options: ``-g Compress``. Build products: -- 2.30.2