From f2abbea0206595e504ab4ff0ca0c48ca28200742 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 11 Feb 2022 19:51:20 +0000 Subject: [PATCH] add -nocarry option to synth_xilinx to avoid nextpnr-xilinx lock-up situation when CARRY4 chains go about 23-25 --- nmigen/vendor/xilinx.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/nmigen/vendor/xilinx.py b/nmigen/vendor/xilinx.py index 7514ceb..0517ecb 100644 --- a/nmigen/vendor/xilinx.py +++ b/nmigen/vendor/xilinx.py @@ -480,7 +480,7 @@ class XilinxPlatform(TemplatedPlatform): """, r""" {{invoke_tool("yosys")}} - -p "synth_xilinx -flatten -abc9 -nobram -arch xc7 -top {{name}}; write_json {{name}}.json" {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v + -p "synth_xilinx -flatten -nocarry -abc9 -nobram -arch xc7 -top {{name}}; write_json {{name}}.json" {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v """, r""" {{invoke_tool("nextpnr-xilinx")}} -- 2.30.2