From f637757604f251911cb79b0a459bd7aa7f58d47c Mon Sep 17 00:00:00 2001 From: Jean THOMAS Date: Wed, 8 Jul 2020 15:38:12 +0200 Subject: [PATCH] Fix styling --- gram/core/multiplexer.py | 9 +++------ 1 file changed, 3 insertions(+), 6 deletions(-) diff --git a/gram/core/multiplexer.py b/gram/core/multiplexer.py index ffe47f9..03a7695 100644 --- a/gram/core/multiplexer.py +++ b/gram/core/multiplexer.py @@ -192,12 +192,9 @@ class _Steerer(Elaboratable): m.d.sync += [ phase.address.eq(Array(cmd.a for cmd in commands)[sel]), - phase.cas_n.eq(~Array(valid_and(cmd, "cas") - for cmd in commands)[sel]), - phase.ras_n.eq(~Array(valid_and(cmd, "ras") - for cmd in commands)[sel]), - phase.we_n.eq(~Array(valid_and(cmd, "we") - for cmd in commands)[sel]) + phase.cas_n.eq(~Array(valid_and(cmd, "cas") for cmd in commands)[sel]), + phase.ras_n.eq(~Array(valid_and(cmd, "ras") for cmd in commands)[sel]), + phase.we_n.eq(~Array(valid_and(cmd, "we") for cmd in commands)[sel]) ] rddata_ens = Array(valid_and(cmd, "is_read") for cmd in commands) -- 2.30.2