From f958b0a0f3ca1f6da127a2f3bc02d57a1882354a Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Sat, 12 Feb 2022 15:55:27 +0000 Subject: [PATCH] bring ulx3s frequency down to 12.5 mhz --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index b939620..43f5d88 100644 --- a/Makefile +++ b/Makefile @@ -162,7 +162,7 @@ endif ifeq ($(FPGA_TARGET), ULX3S) RESET_LOW=true CLK_INPUT=25000000 -CLK_FREQUENCY=25000000 +CLK_FREQUENCY=12500000 LPF=constraints/ulx3s.lpf PACKAGE=CABGA381 NEXTPNR_FLAGS=--85k --freq 25 -- 2.30.2