From fbbb2d04b66087acd04212e64289daaeb1fc3cc4 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 21 Feb 2020 14:56:51 +0000 Subject: [PATCH] remove synthesise-yosys.mk use alliance one --- mk/synthesis-yosys.mk | 57 ------------------------------------------- mksym.sh | 3 ++- 2 files changed, 2 insertions(+), 58 deletions(-) delete mode 100644 mk/synthesis-yosys.mk diff --git a/mk/synthesis-yosys.mk b/mk/synthesis-yosys.mk deleted file mode 100644 index 653525b..0000000 --- a/mk/synthesis-yosys.mk +++ /dev/null @@ -1,57 +0,0 @@ - - $(info Logical synthesis is using Yosys.) - - ifeq ($(YOSYS_TOP),) - $(error YOSYS_TOP has not been set) - endif - - ifeq ($(LIBERTY_FILE),) - $(error LIBERTY_FILE has not been set) - endif - - ifeq ($(YOSYS_FLATTEN),) - YOSYS_FLATTEN = No - endif - - -# ------------------------------------------------------------------- -# Yosys Rules (pattern matching). - - NETLISTS_NOCORONA = $(foreach netlist,$(NETLISTS) ,$(shell echo $(netlist) | sed 's:.*_model::')) - NETLISTS_NOMODELS = $(foreach netlist,$(NETLISTS_NOCORONA),$(shell echo $(netlist) | sed 's:.*corona::')) - ILANG_MODULE = $(firstword $(NETLISTS_NOMODELS)) - NETLISTS_VST = $(shell echo $(ILANG_MODULE) | tr '[:upper:]' '[:lower:]').vst - NETLISTS_SYNTH = $(foreach netlist,$(NETLISTS_NOMODELS), $(shell echo $(netlist) | tr '[:upper:]' '[:lower:]')) - CLEAN_SYNTHESIS = $(addsuffix .vst,$(NETLISTS_SYNTH)) $(ILANG_MODULE).blif $(ILANG_MODULE).tcl - - $(info | ILANG file & top model: "$(ILANG_MODULE)") - $(info | Generated secondary VHDL structural files (vst):) - $(foreach netlist,$(NETLISTS_SYNTH),$(info | - "$(netlist)")) - - -# Any file put in "./non_generated/" will take precedence over the -# generation. - -%.blif: ./non_generated/%.blif ; cp $< . - - -%.blif: %.il - rm -f $*.tcl; \ - echo "set ilang_file $*.il" >> $*.tcl; \ - echo "set ilang_top $*" >> $*.tcl; \ - echo "set liberty_file $(LIBERTY_FILE)" >> $*.tcl; \ - echo "yosys read_ilang \$$ilang_file" >> $*.tcl; \ - echo "yosys hierarchy -check -top \$$ilang_top" >> $*.tcl; \ - echo "yosys synth -top \$$ilang_top" >> $*.tcl; \ - if [ "$(YOSYS_FLATTEN)" = "Yes" ]; then \ - echo "yosys flatten \$$ilang_top" >> $*.tcl; \ - echo "yosys hierarchy -top \$$ilang_top" >> $*.tcl; \ - fi; \ - echo "yosys dfflibmap -liberty \$$liberty_file" >> $*.tcl; \ - echo "yosys abc -liberty \$$liberty_file" >> $*.tcl; \ - echo "yosys clean" >> $*.tcl; \ - echo "yosys write_blif $*.blif" >> $*.tcl; - yosys -c $*.tcl - -$(addsuffix .vst,$(NETLISTS_SYNTH)): $(ILANG_MODULE).blif - -@$(call run_if_older,$@,$(ILANG_MODULE).blif,$(call scl_cols,eval `$(CORIOLIS_TOP)/etc/coriolis2/coriolisEnv.py $(DEBUG_OPTION)`; $(BLIF2VST) $(VST_FLAGS) --cell=$(ILANG_MODULE)) ) diff --git a/mksym.sh b/mksym.sh index b5da0a1..6c48568 100755 --- a/mksym.sh +++ b/mksym.sh @@ -9,6 +9,7 @@ mkdir -p mk/users.d declare -a ScriptsArray=("os" "users" "binaries" "alliance" "design-flow" "pr-coriolis" "pr-alliance" "pr-hibikino" + "synthesise-yosys" ) for script in "${ScriptsArray[@]}"; do @@ -32,7 +33,7 @@ declare -a UsersArray=("lkcl" ) for script in "${UsersArray[@]}"; do - if [ ! -L "mk/users.d/$script.mk" ]; then + if [ ! -L "mk/users.d/user-$script.mk" ]; then echo "link" mk/users.d/user-$script.mk ln -s $ALLIANCE_TOOLKIT/etc/mk/users.d/user-$script.mk \ mk/users.d/user-$script.mk -- 2.30.2