Now timing reads work in single level of cache with simple cpu