Add "read_verilog -noassert -noassume -assert-assumes"