2019-06-26 |
Clifford Wolf | Fix segfault on failed VERILOG_FRONTEND::const2ast... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-26 |
Clifford Wolf | Do not clean up buffer cells with "keep" attribute... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-26 |
Clifford Wolf | Escape scope names starting with dollar sign in smtio.py Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-21 |
Clifford Wolf | Replace "muxcover -freedecode" with "muxcover -dmux... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-21 |
Clifford Wolf | Added JSON upto and offset Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-21 |
Clifford Wolf | Add "muxcover -freedecode" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Fix typo, fixes #1095 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Improve shregmap help message, fixes #1113 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Update some .gitignore files Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Fix typo Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Merge branch 'towoe-unpacked_arrays'
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Add proper test for SV-style arrays Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-20 |
Clifford Wolf | Merge branch 'unpacked_arrays' of https://github.com...
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Add "read_verilog -pwires" feature, closes #1106 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Make tests/aiger less chatty Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Add defvalue test, minor autotest fixes for .sv files Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Use input default values in hierarchy pass Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Add defaultvalue attribute Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-19 |
Clifford Wolf | Fix handling of "logic" variables with initial value Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-16 |
Clifford Wolf | Add timescale and generated-by header to yosys-smtbmc... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Rename implicit_ports.sv test to implicit_ports.v Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Fixes and cleanups in AST_TECALL handling Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Merge branch 'pr_elab_sys_tasks' of https://github...
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Merge branch 'tux3-implicit_named_connection'
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Cleanup tux3-implicit_named_connection Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-07 |
Clifford Wolf | Merge branch 'implicit_named_connection' of https:...
|
commit | commitdiff | tree |
2019-06-05 |
Clifford Wolf | Major rewrite of wire selection in setundef -init Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-05 |
Clifford Wolf | Indent fix Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-05 |
Clifford Wolf | Fix typo in fmcombine log message, fixes #1063 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-05 |
Clifford Wolf | Suppress driver-driver conflict warning for unknown... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-05 |
Clifford Wolf | Remove yosys_banner() from python wrapper init, fixes... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-06-02 |
Clifford Wolf | Only support Symbiotic EDA flavored Verific Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-31 |
Clifford Wolf | Fix "tee" handling of log_streams Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-30 |
Clifford Wolf | Enable Verific flag veri_elaborate_top_level_modules_having_... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-28 |
Clifford Wolf | Do not use shiftmul peepopt pattern when mul result... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-28 |
Clifford Wolf | Refactor hierarchy wand/wor handling Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-28 |
Clifford Wolf | Add actual wandwor test that is part of "make test" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-28 |
Clifford Wolf | Merge branch 'wandwor' of https://github.com/thasti...
|
commit | commitdiff | tree |
2019-05-25 |
Clifford Wolf | Fix handling of offset and upto module ports in write_blif... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-24 |
Clifford Wolf | Add proper error message for btor recursion_guard Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-22 |
Clifford Wolf | Keep zero-width wires in opt_clean if and only if they... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-22 |
Clifford Wolf | Fix handling of warning and error messages within log_make_d... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-20 |
Clifford Wolf | Add "wreduce -keepdc", fixes #1016 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-15 |
Clifford Wolf | Improvements in opt_clean Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-15 |
Clifford Wolf | Add rewrite_sigspecs2, Improve remove() wires Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-15 |
Clifford Wolf | Do not leak file descriptors in cover.cc Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-12 |
Clifford Wolf | Fix handling of glob_abort_cnt in opt_muxtree, fixes... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-11 |
Clifford Wolf | Add "fmcombine -initeq -anyeq" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-11 |
Clifford Wolf | Add "stat -tech xilinx" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-09 |
Clifford Wolf | Add $stop to documentation Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-08 |
Clifford Wolf | Remove added newline (by re-running minisat 00_UPDATE.sh) Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-07 |
Clifford Wolf | Add test case from #997 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-07 |
Clifford Wolf | Fix handling of partial init attributes in write_verilog... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-07 |
Clifford Wolf | Add "synth_xilinx -arch" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-07 |
Clifford Wolf | More opt_clean cleanups Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Add tests/various/chparam.sh Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Merge branch 'master' of github.com:YosysHQ/yosys into...
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Fix the other bison warning in ilang_parser.y Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Bugfix in peepopt_shiftmul.pmg Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Fix bug in "expose -input" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Cleanups in opt_clean Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Improve tests/various/specify.ys Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Add "real" keyword to ilang format Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-06 |
Clifford Wolf | Merge branch 'master' of github.com:YosysHQ/yosys into...
|
commit | commitdiff | tree |
2019-05-04 |
Clifford Wolf | Improve opt_clean handling of unused wires Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-04 |
Clifford Wolf | Add support for SVA "final" keyword Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-04 |
Clifford Wolf | Improve write_verilog specify support Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-04 |
Clifford Wolf | Update README Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-04 |
Clifford Wolf | Add approximate support for SV "var" keyword, fixes... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Add "hierarchy -chparam" support for non-verific top... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Eddie Hung | log_warning_noprefix -> log_warning as per review
|
commit | commitdiff | tree |
2019-05-03 |
Eddie Hung | For hier_tree::Elaborate() also include SV root modules...
|
commit | commitdiff | tree |
2019-05-03 |
Eddie Hung | Fix verific_parameters construction, use attribute...
|
commit | commitdiff | tree |
2019-05-03 |
Eddie Hung | WIP -chparam support for hierarchy when verific
|
commit | commitdiff | tree |
2019-05-03 |
Eddie Hung | verific_import() changes to avoid ElaborateAll()
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Fix typo in tests/svinterfaces/runone.sh Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Improve opt_expr and opt_clean handling of (partially... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Further improve unused-detection for opt_clean driver... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Improve unused-detection for opt_clean driver-driver... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Update pmgen documentation Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-03 |
Clifford Wolf | Fix typo Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Merge branch 'clifford/fix883'
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Add missing enable_undef to "sat -tempinduct-def",... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Fix floating point exception in qwp, fixes #923 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Add splitcmplxassign test case and silence splitcmplxassign... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Fix width detection of memory access with bit slice... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Add additional test cases for for-loops Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Silently resolve completely unused cell-vs-const driver... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-05-01 |
Clifford Wolf | Re-enable "final loop assignment" feature Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Fix segfault in wreduce Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Disabled "final loop assignment" feature Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Include filename in "Executing Verilog-2005 frontend... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Fix performance bug in RTLIL::SigSpec::operator==(... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Add final loop variable assignment when unrolling for... Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Add handling of init attributes in "opt_expr -undriven" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Add peepopt_muldiv, fixes #930 Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | pmgen progress Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Run "peepopt" in generic "synth" pass and "synth_ice40" Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Some pmgen reorg, rename peepopt.pmg to peepopt_shiftmul.pmg Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
2019-04-30 |
Clifford Wolf | Progress in shiftmul peepopt pattern Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
commit | commitdiff | tree |
next |