Re: [libre-riscv-dev] Power ISA v3.1 bug - parityw