yosys.git
2020-03-12 XiretzaImprove ABC repository management in Makefile
2020-03-12 Miodrag MilanovicAdded filter-out for libyosys.so
2020-03-12 Miodrag MilanovicRevert "Clean up 'install' Makefile target"
2020-03-12 Miodrag MilanovicRevert "Improve ABC repository management in Makefile"
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-12 N. EngelhardtMerge pull request #1751 from boqwxp/add_assert
2020-03-12 Miodrag MilanovicAdd mandatory wasm file to zip file as well
2020-03-12 Miodrag MilanovićMerge pull request #1757 from jiegec/fix-emcc
2020-03-11 Marcus Comstedtrefixed parsing of constant with comment between size...
2020-03-11 jiegecAdd EXTRA_EXPORTED_RUNTIME_METHODS env for yosysjs
2020-03-11 jiegecFix compilation for emcc
2020-03-11 Eddie Hungverilog: add test
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 Alberto GonzalezExtend `add` command to allow adding cells for verifica...
2020-03-10 Eddie Hungverilog: also set location for simple_behavioral_stmt
2020-03-10 David ShahMerge pull request #1753 from YosysHQ/dave/abc9-speedup
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-10 N. EngelhardtMerge pull request #1755 from boqwxp/add_cmd_cleanup
2020-03-10 Alberto GonzalezClean up passes/cmds/add.cc code style.
2020-03-10 Alberto GonzalezSet AST source locations in more parser rules.
2020-03-09 Eddie HungMerge pull request #1747 from YosysHQ/claire/partselfix
2020-03-09 David ShahAdd ScriptPass::run_nocheck and use for abc9
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-08 Claire WolfFix partsel expr bit width handling and add test case
2020-03-06 Eddie Hungxaiger: remove some unnecessary operations ...
2020-03-06 Eddie HungBump ABCREV to receive fix for #1675
2020-03-06 Eddie Hungabc9: for sccs, create a new wire instead of using...
2020-03-06 Eddie Hungabc9: (* keep *) wires to be PO only, not PI as well...
2020-03-06 Eddie Hungabc: add abc.debug scratchpad option
2020-03-06 N. Engelhardtremove unused parameters
2020-03-06 Miodrag MilanovićMerge pull request #1742 from nakengelhardt/rpc-test...
2020-03-06 N. Engelhardtrpc test: make frontend listen before launching yosys...
2020-03-05 Eddie HungMerge pull request #1739 from YosysHQ/eddie/issue1738
2020-03-05 Eddie Hungice40: fix specify for ICE40_{LP,U}
2020-03-05 Eddie Hungtests: extend tests/arch/run-tests.sh for defines
2020-03-04 Eddie Hungice40: fix implicit signal in specify, also clamp negat...
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-04 Eddie Hungxilinx: consider DSP48E1.ADREG
2020-03-04 Eddie Hungxilinx: cleanup DSP48E1 handling for abc9
2020-03-04 Eddie Hungxilinx: improve specify for DSP48E1
2020-03-04 Eddie Hungxilinx: missing DSP48E1.PCIN timing from abc9_{map...
2020-03-04 David Shahdeminout: Don't demote inouts with unused bits
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfFix bison warning for "pure-parser" option
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-03-02 Marcelina Kościelnickaiopadmap: Look harder for already-present buffers....
2020-03-02 Eddie HungMerge pull request #1724 from YosysHQ/eddie/abc9_specify
2020-03-02 N. EngelhardtMerge pull request #1729 from rqou/coolrunner2
2020-03-02 R. Oucoolrunner2: Attempt to give wires/cells more meaningfu...
2020-03-02 R. Oucoolrunner2: Fix invalid multiple fanouts of XOR/OR...
2020-03-02 R. Oucoolrunner2: Fix packed register+input buffer insertion
2020-03-02 R. Oucoolrunner2: Insert many more required feedthrough...
2020-02-29 Eddie HungMerge pull request #1727 from YosysHQ/eddie/fix_write_smt2
2020-02-28 Eddie Hungystests: fix write_smt2_write_smt2_cyclic_dependency_fail
2020-02-28 Eddie HungMerge pull request #1726 from YosysHQ/eddie/fix1710
2020-02-28 Dan RavensloftAdd -flowmap to synth and synth_ice40
2020-02-28 Eddie Hungast: fixes #1710; do not generate RTLIL for unreachable...
2020-02-28 Eddie HungComment out log()
2020-02-27 Eddie HungRemove RAMB{18,36}E1 from cells_xtra.py
2020-02-27 Eddie HungSmall fixes
2020-02-27 Eddie HungFixes for older compilers
2020-02-27 Eddie HungRevert "Fix tests/arch/xilinx/fsm.ys to count flops...
2020-02-27 Eddie Hungast: quiet down when deriving blackbox modules
2020-02-27 Eddie Hungabc9_ops: suppress -prep_box warning for abc9_flop
2020-02-27 Eddie Hungxilinx: Update RAMB* specify entries
2020-02-27 Eddie Hungice40: add delays to SB_CARRY
2020-02-27 Eddie Hungxilinx: add delays to INV
2020-02-27 Eddie HungMake TimingInfo::TimingInfo(SigBit) constructor explicit
2020-02-27 Eddie HungTimingInfo: index by (port_name,offset)
2020-02-27 Eddie HungFix spacing
2020-02-27 Eddie HungMore +/ice40/cells_sim.v fixes
2020-02-27 Eddie HungCleanup tests
2020-02-27 Eddie HungUpdate bug1630.ys to use -lut 4 instead of lut file
2020-02-27 Eddie HungMake +/xilinx/cells_sim.v legal
2020-02-27 Eddie Hungabc9_ops: still emit delay table even box has no timing
2020-02-27 Eddie Hungwrite_xaiger: add comment about arrival times of flop...
2020-02-27 Eddie Hungabc9_ops: demote lack of box timing info to warning
2020-02-27 Eddie HungGet rid of (* abc9_{arrival,required} *) entirely
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: add and use new TimingInfo struct
2020-02-27 Eddie HungFix tests/arch/xilinx/fsm.ys to count flops only
2020-02-27 Eddie HungExpand +/xilinx/cells_sim.v to keep ICARUS and non...
2020-02-27 Eddie Hungice40: fix specify for inverted clocks
2020-02-27 Eddie HungFix tests by gating some specify constructs from iverilog
2020-02-27 Eddie HungUpdate simple_abc9 tests
2020-02-27 Eddie Hungabc9_ops: ignore (* abc9_flop *) if not '-dff'
2020-02-27 Eddie Hungice40: specify fixes
2020-02-27 Eddie Hungabc9_ops: sort LUT delays to be ascending
2020-02-27 Eddie Hungice40: move over to specify blocks for -abc9
2020-02-27 Eddie Hungsynth_ecp5: use +/abc9_model.v
2020-02-27 Eddie HungUpdate xilinx for ABC9
2020-02-27 Eddie HungCreate +/abc9_model.v for $__ABC9_{DELAY,FF_}
2020-02-27 Eddie Hungabc9_ops: output LUT area
2020-02-27 Eddie Hungecp5: remove small LUT entries
2020-02-27 Eddie Hungabc9_ops: cope with T_LIMIT{,2}_{MIN,TYP,MAX} and auto...
2020-02-27 Eddie HungFix commented out specify statement
next