yosys.git
2020-10-20 clairexenMerge pull request #2404 from YosysHQ/claire/fixrpcargs
2020-10-20 Yosys BotBump version
2020-10-19 Claire Xenia... Fix argument handling in connect_rpc
2020-10-19 Miodrag MilanovićMerge pull request #2397 from daveshah1/nexus
2020-10-16 Yosys BotBump version
2020-10-15 clairexenMerge pull request #2398 from jakobwenzel/smtbmc-escape
2020-10-15 David Shahsynth_nexus: Initial implementation
2020-10-13 Yosys BotBump version
2020-10-12 Miodrag Milanovicextend verific library API for formal apps and generators
2020-10-09 Yosys BotBump version
2020-10-08 Marcelina Kościelnickaopt_clean: Better memory handling.
2020-10-06 Jakob Wenzelsmtbmc: escape identifiers in verilog testbench
2020-10-06 Yosys BotBump version
2020-10-05 Miodrag MilanovićUpdate required Verific version
2020-10-03 Yosys BotBump version
2020-10-02 clairexenMerge pull request #2396 from YosysHQ/claire/empty...
2020-10-02 Yosys BotBump version
2020-10-01 Claire Xenia... Ignore empty parameters in Verilog module instantiations
2020-10-01 clairexenMerge pull request #2378 from udif/pr_dollar_high_low
2020-10-01 clairexenMerge pull request #2380 from Xiretza/parallel-tests
2020-10-01 David ShahUpdate .gitignore
2020-10-01 clairexenMerge pull request #2395 from YosysHQ/sha1_if_contain_s...
2020-10-01 Yosys BotBump version
2020-09-30 Miodrag Milanovicuse sha1 for parameter list in case if they contain...
2020-09-30 Miodrag MilanovicFixed installation dir override for Python scripts
2020-09-30 Yosys BotBump version
2020-09-29 clairexenMerge pull request #2393 from nakengelhardt/no_const_se...
2020-09-29 clairexenMerge pull request #2392 from YosysHQ/mmicko/hierarchy_fix
2020-09-29 Yosys BotBump version
2020-09-28 N. Engelhardtadd tests
2020-09-28 N. Engelhardtwrite_verilog: emit intermediate wire for constant...
2020-09-28 Miodrag MilanovićMerge pull request #2386 from btut/fix/pyinstallpath
2020-09-28 N. EngelhardtMerge pull request #2387 from btut/fix/pythonWrappersCX...
2020-09-26 Xiretzatests: add gitignores for auto-generated makefiles
2020-09-25 Benedikt TutzerUse CXXFLAGS to enable pyosys specific code before...
2020-09-25 Miodrag MilanovicValidate parameters only when they are used
2020-09-25 Benedikt TutzerFixed python installation path
2020-09-24 Yosys BotBump version
2020-09-23 Eddie Hung xilinx: do not make DSP48E1 a whitebox for ABC9 by...
2020-09-23 Miodrag MilanovićMerge pull request #2384 from nakengelhardt/fix_2383
2020-09-23 N. Engelhardtswitch argument order to work with macOS getopt
2020-09-22 Yosys BotBump version
2020-09-21 N. EngelhardtMerge pull request #2372 from nakengelhardt/name_is_public
2020-09-21 Xiretzatests/simple: remove "nullglob" shopt
2020-09-21 Xiretzatests: Parallelize
2020-09-21 Xiretzatests: Centralize test collection and Makefile generation
2020-09-19 Yosys BotBump version
2020-09-18 clairexenMerge pull request #2381 from YosysHQ/unsupported
2020-09-18 Miodrag MilanovicBetter error for unsupported SVA sequence
2020-09-18 Yosys BotBump version
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-09-16 Udi FinkelsteinWe can now handle array slices (e.g. $size(x[1]) etc. )
2020-09-16 Udi FinkelsteinFixed comments, removed debug message
2020-09-15 Udi FinkelsteinAdded $high(), $low(), $left(), $right()
2020-09-14 N. Engelhardtuse the new isPublic() in a few places
2020-09-11 Yosys BotBump version
2020-09-10 Miodrag MilanovićMerge pull request #2369 from Xiretza/gitignores
2020-09-04 Yosys BotBump version
2020-09-03 N. Engelhardtadd IdString::isPublic()
2020-09-03 whitequarkMerge pull request #2371 from whitequark/cxxrtl-debug...
2020-09-03 Yosys BotBump version
2020-09-02 whitequarkcxxrtl: expose driver kind in debug information.
2020-09-02 whitequarkcxxrtl: improve handling of FFs with async inputs ...
2020-09-02 whitequarkcxxrtl: expose port direction in debug information.
2020-09-02 whitequarkcxxrtl: fix typo in comment. NFC.
2020-09-02 whitequarkcxxrtl: fix inaccuracy in CXXRTL_ALIAS documentation...
2020-09-02 Miodrag MilanovicUse latest verific
2020-09-02 Yosys BotBump version
2020-09-01 clairexenMerge pull request #2352 from zachjs/const-func-localparam
2020-09-01 clairexenMerge pull request #2366 from zachjs/library-format
2020-09-01 clairexenMerge pull request #2353 from zachjs/top-scope
2020-09-01 clairexenMerge pull request #2365 from zachjs/const-arg-loop...
2020-09-01 Yosys BotBump version
2020-08-31 XiretzaAdd missing gitignores for test artifacts
2020-08-31 Miodrag MilanovicReorder to prevent crash
2020-08-31 clairexenMerge pull request #2368 from YosysHQ/verific_portrange
2020-08-30 Miodrag Milanovicast recognize lower case x and z and verific gives...
2020-08-30 Miodrag MilanovicDo not check for 1 and 0 only
2020-08-30 Miodrag MilanovicFix import of VHDL enums
2020-08-30 Yosys BotBump version
2020-08-29 whitequarkwrite_smt2: fix SMT-LIB tutorial URL
2020-08-29 Zachary SnowSimple support for %l format specifier
2020-08-29 Zachary SnowFix constant args used with function ports split across...
2020-08-29 Yosys BotBump version
2020-08-28 Dan Ravensloftintel_alm: better map wide but shallow multiplies
2020-08-28 Yosys BotBump version
2020-08-27 Miodrag MilanovićMerge pull request #2364 from whitequark/manual-typo
2020-08-27 whitequarkmanual: fix typo.
2020-08-27 whitequarkMerge pull request #2357 from whitequark/cxxflags-MP
2020-08-27 whitequarkMerge pull request #2356 from whitequark/flatten-techma...
2020-08-27 whitequarkMerge pull request #2358 from whitequark/rename-ilang...
2020-08-27 Marcelina Kościelnickadfflegalize: Fix decision tree for adffe.
2020-08-27 Yosys BotBump version
2020-08-26 Dan Ravensloftintel_alm: Add multiply signedness to cells
2020-08-26 whitequarkReplace "ILANG" with "RTLIL" everywhere.
2020-08-26 whitequarkAdd -MP to CXXFLAGS.
2020-08-26 whitequarkflatten, techmap: don't canonicalize tpl driven bits...
2020-08-26 Miodrag MilanovićMerge pull request #2355 from YosysHQ/verific_improvements
2020-08-26 Miodrag MilanovicAdd formal apps and template generators
next