litex.git
2018-11-05 Florent Kermarrecboards/platforms/kcu105: fix sdram/dq pin swap
2018-11-05 Florent Kermarrecbios/sdram: replace DDR3_MR1 constant with DDRX_MR1
2018-11-05 Florent Kermarrecboards/targets: add kcu105
2018-11-02 enjoy-digitalMerge pull request #122 from daveshah1/trellis_ulx3s
2018-11-02 enjoy-digitalMerge pull request #124 from jfng/master
2018-11-02 Jean-François... build/sim/verilator: don't use --threads when $(THREADS...
2018-11-01 Florent Kermarrecboards/platforms/kc705: add user_sma_mgt_refclk
2018-11-01 enjoy-digitalMerge pull request #123 from cr1901/prv32-min
2018-11-01 William D.... libbase/crt0-picorv32: Emulate support for a relocatabl...
2018-11-01 William D.... cpu/picorv32: IRQ vector needs to be moved to 16 bytes...
2018-11-01 William D.... libbase/crt0-picorv32: Ensure BSS is cleared on boot.
2018-10-31 Florent Kermarreccores/clock: add with_reset parameter (default to True)
2018-10-31 David Shahulx3s: Connect SDRAM clock
2018-10-31 David ShahFix Trellis build; ULX3S demo boots to BIOS
2018-10-31 David Shahtrellis: Switch to using LPF for constraints
2018-10-31 Florent Kermarrecboards/platforms/kcu105: add sfp_tx/rx definition
2018-10-30 William D.... cpu/picorv32: Create minimal variant (disable mul/div...
2018-10-30 William D.... cpu/picorv32: Extract picorv32 parameters from Instance...
2018-10-30 Florent Kermarrecbuild/lattice/prjtrellis: fix default toolchain_path
2018-10-30 Florent Kermarrecsoc/cores/spi_flash: add endianness parameter
2018-10-30 Florent Kermarrecsoc/interconnect/stream_packet: use reverse_bytes from...
2018-10-30 Florent Kermarrecgen: add common with reverse_bits/reverse_bytes functions
2018-10-30 Florent Kermarrecboards/targets/ulx3s: reduce l2_size
2018-10-30 Florent Kermarrecbuild/lattice/prjtrellis: fix typo
2018-10-30 Florent Kermarrecbuild/lattice/prjtrellis: modify generated verilog...
2018-10-30 Florent Kermarrecbuild/lattice/common: fix LatticeECPXPrjTrellisTristateImpl
2018-10-30 Tim AnsellMerge pull request #121 from cr1901/patch-3
2018-10-30 William D.... Update vivado.py
2018-10-29 Florent Kermarrecboards/targets: add ulx3s
2018-10-29 Florent Kermarrecboards/platforms: add ulx3s
2018-10-29 Florent Kermarrecbuild/lattice/prjtrellis: add inout support
2018-10-29 Florent Kermarrecbuild/lattice/common: add tristate support
2018-10-29 Florent Kermarrecboards/targets/versaecp55g_prjtrellis: simple.py exampl...
2018-10-29 Florent Kermarrecbuild/lattice/prjtrellis: set default toolchain_path...
2018-10-29 Florent Kermarrecboards/targets/simple: add gateware-toolchain parameter
2018-10-29 Florent Kermarrecboards/platforms/versaecp55g: use ftdi serial pins
2018-10-29 Florent Kermarrecbuild/lattice/prjtrellis: test and fix iowrapper multi...
2018-10-29 Florent KermarrecMerge branch 'master' of github.com/enjoy-digital/litex
2018-10-29 Florent Kermarrecboards/targets/versaecp55g: use new iowrapper support...
2018-10-29 Florent Kermarrecbuild/lattice/prjtrellis: generate iowrapper to set...
2018-10-29 Florent Kermarrecgen/fhdl/verilog: set direction to io signals
2018-10-29 Tim AnsellMerge pull request #120 from mithro/master
2018-10-29 Tim 'mithro... litex/build: Always run Vivado.
2018-10-29 Florent Kermarrecbuild/lattice/prjtrellis: simplify code, remove some...
2018-10-29 Florent Kermarrecbuild/xilinx/vivado: fix merge issue
2018-10-28 Florent Kermarrecboards/targets: add versa ecp55g prjtrellis target...
2018-10-28 Florent Kermarrecbuild/lattice: add initial prjtrellis support
2018-10-28 Florent Kermarrecbuild/lattice/diamond: use bash on linux
2018-10-28 Florent Kermarrecbuild/lattice: improve special_overrides names (vendor_...
2018-10-28 enjoy-digitalMerge pull request #114 from mithro/xilinx+yosys
2018-10-28 enjoy-digitalMerge branch 'master' into xilinx+yosys
2018-10-28 enjoy-digitalMerge pull request #118 from mithro/uart-sync
2018-10-27 Tim 'mithro... uart: Enable buffering the FIFO.
2018-10-27 Florent KermarrecREADME: improve instructions for litex_sim
2018-10-27 Florent Kermarrecbuild/sim/verilator: don't use THEADS parameters when...
2018-10-19 Florent Kermarrecsoc_sdram: update litedram
2018-10-18 Florent Kermarrecbios/sdram: s7ddrphy now has bitslip in fabric, show...
2018-10-18 Florent Kermarrecbuild/xilinx/vivado: enable xpm libraries
2018-10-16 Florent Kermarrecsoc/cores/clock: add margin parameter to create_clkout...
2018-10-10 Florent Kermarrecbios/sdram: improve write/read leveling
2018-10-09 Florent Kermarrecplatforms/kc705: add ddram_dual_rank
2018-10-09 Florent Kermarrecbios/main: handle all types of carriage return (\r...
2018-10-09 enjoy-digitalMerge pull request #116 from stffrdhrn/sim-uart
2018-10-09 Stafford Hornesim: serial: Send '\r\n' instead of just '\n'
2018-10-08 Florent Kermarreccpu_interface: fix select_triple when only one specified
2018-10-06 Florent Kermarrecsoc/integration/cpu_interface: generate error if unable...
2018-10-06 Florent Kermarrecsoc_core: add csr range check
2018-10-05 Tim 'mithro... build.xilinx: Convert attributes to something Yosys...
2018-10-04 enjoy-digitalMerge pull request #112 from cr1901/8k-b-evn
2018-10-04 enjoy-digitalMerge pull request #113 from stffrdhrn/litex-trivial
2018-10-04 Stafford HorneFix help for or1k builds
2018-10-04 Stafford HorneFix compiler warnings from GCC 8.1
2018-10-04 Florent Kermarrecbuild/xilinx/common: update XilinxDDRInputImplS7 and...
2018-10-04 Tim 'mithro... xilinx/viviado: Allow yosys for synthesis.
2018-10-04 Tim 'mithro... cpu/mor1kx: Adding verilog include directory.
2018-10-04 William D.... build/platforms: Add ice40_hx8k_b_evn from Migen.
2018-10-03 Tim 'mithro... build.xilinx: Run `phys_opt_design` and generate timing...
2018-10-02 Florent Kermarreccores/cpu: revert vexriscv (it seems there is a regress...
2018-10-02 Florent Kermarrectargets/sim: fix integrated_main_ram_size when with_sdram
2018-10-01 Florent Kermarrecbios/sdram: rewrite write_leveling (simplify and improv...
2018-10-01 Florent Kermarrecplatforms/genesys2: add eth clock timing constraint
2018-09-28 Florent Kermarrecsoc/cores/clock: add expose_drp on S7PLL/S7MMCM
2018-09-25 enjoy-digitalMerge pull request #109 from cr1901/xip-improve
2018-09-25 Florent Kermarrectargets: use new clock abstraction on all 7-series...
2018-09-25 Florent Kermarrecsoc/cores/clock: different clkin_freq_range for pll...
2018-09-25 Florent Kermarrecsoc/cores/clock: different vco_freq_range for pll and...
2018-09-25 Florent Kermarrecsoc/core/clock: allow selecting buffer type (None,...
2018-09-24 Florent Kermarrecsoc/cores/clock: create specific S7IDELAYCTRL module
2018-09-24 Florent Kermarrecsoc/cores/clock: add S7MMCM support
2018-09-24 Florent Kermarrecsoc/cores/clocks/S7PLL: add speedgrade support, default...
2018-09-24 Florent Kermarrectargets/arty: use new clock abstraction module (compile...
2018-09-24 Florent Kermarrecsoc/cores: init clock abstraction module
2018-09-24 William D.... Distinguish crt0 variants more clearly, update BIOS...
2018-09-24 William D.... integration/builder: Create EXECUTE_IN_PLACE Makefile...
2018-09-24 William D.... integration/builder: Add LiteX define to generated...
2018-09-24 Florent Kermarrecsim/verilator: add multithread support (default=1)
2018-09-24 Florent Kermarrecsoc_core: use cpu instead of cpu_or_bridge internally...
2018-09-24 Florent Kermarrecboards/targets: uniformize things between targets
2018-09-24 Florent Kermarrecsoc_core/get_mem_data: add endianness support and use...
2018-09-24 Florent Kermarreccores/cpu: add software informations to cpu and simplif...
next