yosys.git
2020-03-21 Sahand KashaniStrip quotes around fileinfo strings
2020-03-21 Sahand KashaniAdd fileinfo to firrtl backend for assignments and...
2020-03-20 Sahand KashaniRefactor fileinfo emission characters to single location
2020-03-19 Sahand KashaniAdd fileinfo to firrtl backend for instances
2020-03-19 Sahand KashaniAdd fileinfo to firrtl backend for modules and wires
2020-03-18 Sahand KashaniAdd fileinfo to firrtl backend for top-level circuit
2020-03-18 Miodrag MilanovićMerge pull request #1780 from YosysHQ/fix-test-bash
2020-03-18 N. Engelhardtfix argument order for macOS compatibility
2020-03-18 N. Engelhardtadd bash to brewfile
2020-03-17 Eddie HungMerge pull request #1769 from boqwxp/select_cleanup
2020-03-17 N. EngelhardtMerge pull request #1776 from boqwxp/precise_locations
2020-03-17 Alberto GonzalezAdd AST node source location information in a couple...
2020-03-16 Alberto GonzalezFurther clean up `passes/cmds/select.cc`.
2020-03-16 Alberto GonzalezCleanup code style and pseudo-private member usage...
2020-03-16 Claire WolfUpdate Copyright
2020-03-16 Claire WolfMerge pull request #1771 from waldyrious/license-update
2020-03-16 N. EngelhardtMerge pull request #1768 from boqwxp/smt2_cleanup
2020-03-16 N. EngelhardtMerge pull request #1746 from boqwxp/optimization
2020-03-15 David ShahMerge pull request #1773 from smunaut/fix_spram_model
2020-03-14 Sylvain Munautice40: Fix SPRAM model to keep data stable if chipselec...
2020-03-14 Waldir PimentaLicense: bump year and add title
2020-03-14 Miodrag MilanovicFix invalid verilog syntax
2020-03-14 Miodrag MilanovićMerge pull request #1759 from zeldin/constant_with_comm...
2020-03-14 Marcus ComstedtAdd regression tests for new handling of comments in...
2020-03-14 Miodrag MilanovićMerge pull request #1754 from boqwxp/precise_locations
2020-03-14 Miodrag MilanovićMerge pull request #1766 from YosysHQ/mmicko/regex_gcc48
2020-03-13 Alberto GonzalezClean up pseudo-private member usage in `backends/smt2...
2020-03-13 Alberto GonzalezAdd support for optimizing exists-forall problems.
2020-03-13 Miodrag Milanovicexclude clang from checking
2020-03-13 Miodrag MilanovicAdd YS_ prefix to macros, add explanation and apply...
2020-03-13 Claire WolfMerge pull request #1764 from Xiretza/fix-abcrev-check
2020-03-13 Miodrag MilanovicAdded back tests for logger
2020-03-13 Miodrag MilanovicUse boost xpressive for gcc 4.8
2020-03-13 Miodrag Milanovicremove include where not used
2020-03-12 XiretzaImprove ABC repository management in Makefile
2020-03-12 Miodrag MilanovicAdded filter-out for libyosys.so
2020-03-12 Miodrag MilanovicRevert "Clean up 'install' Makefile target"
2020-03-12 Miodrag MilanovicRevert "Improve ABC repository management in Makefile"
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-12 N. EngelhardtMerge pull request #1751 from boqwxp/add_assert
2020-03-12 Miodrag MilanovicAdd mandatory wasm file to zip file as well
2020-03-12 Miodrag MilanovićMerge pull request #1757 from jiegec/fix-emcc
2020-03-11 Marcus Comstedtrefixed parsing of constant with comment between size...
2020-03-11 jiegecAdd EXTRA_EXPORTED_RUNTIME_METHODS env for yosysjs
2020-03-11 jiegecFix compilation for emcc
2020-03-11 Eddie Hungverilog: add test
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 Alberto GonzalezExtend `add` command to allow adding cells for verifica...
2020-03-10 Eddie Hungverilog: also set location for simple_behavioral_stmt
2020-03-10 David ShahMerge pull request #1753 from YosysHQ/dave/abc9-speedup
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-10 N. EngelhardtMerge pull request #1755 from boqwxp/add_cmd_cleanup
2020-03-10 Alberto GonzalezClean up passes/cmds/add.cc code style.
2020-03-10 Alberto GonzalezSet AST source locations in more parser rules.
2020-03-09 Eddie HungMerge pull request #1747 from YosysHQ/claire/partselfix
2020-03-09 David ShahAdd ScriptPass::run_nocheck and use for abc9
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-08 Claire WolfFix partsel expr bit width handling and add test case
2020-03-06 Eddie Hungxaiger: remove some unnecessary operations ...
2020-03-06 Eddie HungBump ABCREV to receive fix for #1675
2020-03-06 Eddie Hungabc9: for sccs, create a new wire instead of using...
2020-03-06 Eddie Hungabc9: (* keep *) wires to be PO only, not PI as well...
2020-03-06 Eddie Hungabc: add abc.debug scratchpad option
2020-03-06 N. Engelhardtremove unused parameters
2020-03-06 Miodrag MilanovićMerge pull request #1742 from nakengelhardt/rpc-test...
2020-03-06 N. Engelhardtrpc test: make frontend listen before launching yosys...
2020-03-05 Eddie HungMerge pull request #1739 from YosysHQ/eddie/issue1738
2020-03-05 Eddie Hungice40: fix specify for ICE40_{LP,U}
2020-03-05 Eddie Hungtests: extend tests/arch/run-tests.sh for defines
2020-03-04 Eddie Hungice40: fix implicit signal in specify, also clamp negat...
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-04 Eddie Hungxilinx: consider DSP48E1.ADREG
2020-03-04 Eddie Hungxilinx: cleanup DSP48E1 handling for abc9
2020-03-04 Eddie Hungxilinx: improve specify for DSP48E1
2020-03-04 Eddie Hungxilinx: missing DSP48E1.PCIN timing from abc9_{map...
2020-03-04 David Shahdeminout: Don't demote inouts with unused bits
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfFix bison warning for "pure-parser" option
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-03-02 Marcelina Kościelnickaiopadmap: Look harder for already-present buffers....
2020-03-02 Eddie HungMerge pull request #1724 from YosysHQ/eddie/abc9_specify
2020-03-02 N. EngelhardtMerge pull request #1729 from rqou/coolrunner2
2020-03-02 R. Oucoolrunner2: Attempt to give wires/cells more meaningfu...
2020-03-02 R. Oucoolrunner2: Fix invalid multiple fanouts of XOR/OR...
2020-03-02 R. Oucoolrunner2: Fix packed register+input buffer insertion
2020-03-02 R. Oucoolrunner2: Insert many more required feedthrough...
2020-02-29 Eddie HungMerge pull request #1727 from YosysHQ/eddie/fix_write_smt2
2020-02-28 Eddie Hungystests: fix write_smt2_write_smt2_cyclic_dependency_fail
2020-02-28 Eddie HungMerge pull request #1726 from YosysHQ/eddie/fix1710
2020-02-28 Dan RavensloftAdd -flowmap to synth and synth_ice40
2020-02-28 Eddie Hungast: fixes #1710; do not generate RTLIL for unreachable...
2020-02-28 Eddie HungComment out log()
2020-02-27 Eddie HungRemove RAMB{18,36}E1 from cells_xtra.py
2020-02-27 Eddie HungSmall fixes
2020-02-27 Eddie HungFixes for older compilers
2020-02-27 Eddie HungRevert "Fix tests/arch/xilinx/fsm.ys to count flops...
2020-02-27 Eddie Hungast: quiet down when deriving blackbox modules
next