projects
/
yosys.git
/ shortlog
commit
grep
author
committer
pickaxe
?
search:
re
summary
| shortlog |
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
yosys.git
2019-05-27
Clifford Wolf
Merge pull request #1026 from YosysHQ/clifford/fix1023
commit
|
commitdiff
|
tree
2019-05-27
Clifford Wolf
Merge pull request #1030 from Kmanfi/makefile_osx
commit
|
commitdiff
|
tree
2019-05-27
Kaj Tuomi
Guard all Python-api related items.
commit
|
commitdiff
|
tree
2019-05-26
Clifford Wolf
Merge pull request #1035 from YosysHQ/eddie/opt_rmdff
commit
|
commitdiff
|
tree
2019-05-26
Clifford Wolf
Merge pull request #1042 from mmicko/git_ignore_python
commit
|
commitdiff
|
tree
2019-05-26
Miodrag Milanovic
Add files to ignore for python build
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Revert enable check
commit
|
commitdiff
|
tree
2019-05-25
Clifford Wolf
Merge pull request #1041 from YosysHQ/clifford/fix1040
commit
|
commitdiff
|
tree
2019-05-25
Clifford Wolf
Fix handling of offset and upto module ports in write_b...
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Fix init
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Fix typos
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Add more tests
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Call proc
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
opt_rmdff to optimise even in presence of enable signal...
commit
|
commitdiff
|
tree
2019-05-25
Eddie Hung
Fix duplicate driver
commit
|
commitdiff
|
tree
2019-05-24
Eddie Hung
Add comments
commit
|
commitdiff
|
tree
2019-05-24
Eddie Hung
Resolve @cliffordwolf review, set even if !has_init
commit
|
commitdiff
|
tree
2019-05-24
Clifford Wolf
Add proper error message for btor recursion_guard
commit
|
commitdiff
|
tree
2019-05-23
Eddie Hung
Merge pull request #1036 from YosysHQ/eddie/xilinx_dram
commit
|
commitdiff
|
tree
2019-05-23
Eddie Hung
Fix spacing
commit
|
commitdiff
|
tree
2019-05-23
Eddie Hung
Add "min bits" and "min wports" to xilinx dram rules
commit
|
commitdiff
|
tree
2019-05-23
Eddie Hung
Add opt_rmdff tests
commit
|
commitdiff
|
tree
2019-05-23
Eddie Hung
opt_rmdff to work on $dffe and $_DFFE_*
commit
|
commitdiff
|
tree
2019-05-23
Clifford Wolf
Merge pull request #1031 from mdaiter/optimizeLookupTab...
commit
|
commitdiff
|
tree
2019-05-22
Matthew Daiter
Optimize numberOfPermutations
commit
|
commitdiff
|
tree
2019-05-22
Kaj Tuomi
OS X related fixes.
commit
|
commitdiff
|
tree
2019-05-22
Clifford Wolf
Keep zero-width wires in opt_clean if and only if they...
commit
|
commitdiff
|
tree
2019-05-22
Clifford Wolf
Fix handling of warning and error messages within log_m...
commit
|
commitdiff
|
tree
2019-05-22
Clifford Wolf
Merge pull request #1019 from YosysHQ/clifford/fix1016
commit
|
commitdiff
|
tree
2019-05-22
Clifford Wolf
Merge pull request #1021 from ucb-bar/fixfirrtl_shr,neg
commit
|
commitdiff
|
tree
2019-05-22
Eddie Hung
Merge pull request #1024 from YosysHQ/eddie/fix_Wmissin...
commit
|
commitdiff
|
tree
2019-05-22
Eddie Hung
Rename label
commit
|
commitdiff
|
tree
2019-05-22
Eddie Hung
Try again
commit
|
commitdiff
|
tree
2019-05-21
Eddie Hung
Fix warning
commit
|
commitdiff
|
tree
2019-05-21
Jim Lawson
Fix static shift operands, neg result type, minor forma...
commit
|
commitdiff
|
tree
2019-05-21
Jim Lawson
Merge remote-tracking branch 'upstream/master'
commit
|
commitdiff
|
tree
2019-05-20
Clifford Wolf
Add "wreduce -keepdc", fixes #1016
commit
|
commitdiff
|
tree
2019-05-18
Clifford Wolf
Merge pull request #1017 from Kmanfi/bigger_verilog_files
commit
|
commitdiff
|
tree
2019-05-18
Kaj Tuomi
Read bigger Verilog files.
commit
|
commitdiff
|
tree
2019-05-16
Clifford Wolf
Merge pull request #1013 from antmicro/parameter_attributes
commit
|
commitdiff
|
tree
2019-05-16
Maciej Kurc
Added tests for Verilog frontent for attributes on...
commit
|
commitdiff
|
tree
2019-05-16
Maciej Kurc
Added support for parsing attributes on parameters...
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Merge pull request #1012 from YosysHQ/clifford/sigspecrw
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Improvements in opt_clean
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Add rewrite_sigspecs2, Improve remove() wires
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Do not leak file descriptors in cover.cc
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Merge pull request #1011 from hzeller/fix-constructing...
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Merge pull request #1010 from hzeller/yacc-self-contained
commit
|
commitdiff
|
tree
2019-05-15
Clifford Wolf
Merge pull request #1008 from thasti/fix_libyosys_build
commit
|
commitdiff
|
tree
2019-05-15
David Shah
Merge pull request #1005 from smunaut/ice40_hfosc_trim
commit
|
commitdiff
|
tree
2019-05-15
Henner Zeller
Fix two instances of integer-assignment to string.
commit
|
commitdiff
|
tree
2019-05-15
Henner Zeller
Make the generated *.tab.hh include all the headers...
commit
|
commitdiff
|
tree
2019-05-14
Stefan Biereigel
extract python prefix to allow overriding
commit
|
commitdiff
|
tree
2019-05-14
Stefan Biereigel
remove ldconfig call
commit
|
commitdiff
|
tree
2019-05-14
Stefan Biereigel
add mkdir for libyosys target, explicitly copy to targe...
commit
|
commitdiff
|
tree
2019-05-14
whitequark
bugpoint: check for -script option.
commit
|
commitdiff
|
tree
2019-05-13
Sylvain Munaut
ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
commit
|
commitdiff
|
tree
2019-05-12
Clifford Wolf
Merge pull request #1004 from YosysHQ/clifford/fix1002
commit
|
commitdiff
|
tree
2019-05-12
Clifford Wolf
Fix handling of glob_abort_cnt in opt_muxtree, fixes...
commit
|
commitdiff
|
tree
2019-05-11
Clifford Wolf
Merge pull request #1003 from makaimann/zinit-all
commit
|
commitdiff
|
tree
2019-05-11
Clifford Wolf
Add "fmcombine -initeq -anyeq"
commit
|
commitdiff
|
tree
2019-05-11
Clifford Wolf
Add "stat -tech xilinx"
commit
|
commitdiff
|
tree
2019-05-10
Makai Mann
Zinit option '-singleton' -> '-all'
commit
|
commitdiff
|
tree
2019-05-09
Clifford Wolf
Merge pull request #1000 from bwidawsk/synth-format
commit
|
commitdiff
|
tree
2019-05-09
Ben Widawsky
Fix formatting for synth_intel.cc
commit
|
commitdiff
|
tree
2019-05-09
Ben Widawsky
Add a .clang-format
commit
|
commitdiff
|
tree
2019-05-09
Clifford Wolf
Add $stop to documentation
commit
|
commitdiff
|
tree
2019-05-08
Clifford Wolf
Remove added newline (by re-running minisat 00_UPDATE.sh)
commit
|
commitdiff
|
tree
2019-05-08
Clifford Wolf
Merge pull request #991 from kristofferkoch/gcc9-warnings
commit
|
commitdiff
|
tree
2019-05-08
Kristoffer...
Fix all warnings that occurred when compiling with...
commit
|
commitdiff
|
tree
2019-05-08
Clifford Wolf
Merge pull request #998 from mdaiter/get_bool_attribute...
commit
|
commitdiff
|
tree
2019-05-08
Matthew Daiter
Minor optimization to get_attribute_bool
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Add test case from #997
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Fix handling of partial init attributes in write_verilo...
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Merge pull request #996 from mdaiter/ceil_log2_opts
commit
|
commitdiff
|
tree
2019-05-07
Matthew Daiter
Optimize ceil_log2 function
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Add "synth_xilinx -arch"
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
More opt_clean cleanups
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #946 from YosysHQ/clifford/specify
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #975 from YosysHQ/clifford/fix968
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #871 from YosysHQ/verific_import
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Add tests/various/chparam.sh
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys into...
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Fix the other bison warning in ilang_parser.y
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Bugfix in peepopt_shiftmul.pmg
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #992 from bwidawsk/bison-fix
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #989 from YosysHQ/dave/abc_name_improve
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Fix bug in "expose -input"
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Cleanups in opt_clean
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Improve tests/various/specify.ys
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Add "real" keyword to ilang format
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys into...
commit
|
commitdiff
|
tree
2019-05-06
Ben Widawsky
verilog_parser: Fix Bison warning
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Merge pull request #988 from YosysHQ/clifford/fix987
commit
|
commitdiff
|
tree
2019-05-04
David Shah
abc: Fix handling of postfixed names (e.g. for retiming)
commit
|
commitdiff
|
tree
2019-05-04
David Shah
abc: Improve name recovery
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Improve opt_clean handling of unused wires
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Add support for SVA "final" keyword
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Improve write_verilog specify support
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Update README
commit
|
commitdiff
|
tree
next