yosys.git
2019-05-23 Eddie HungAdd "min bits" and "min wports" to xilinx dram rules
2019-05-23 Eddie HungAdd opt_rmdff tests
2019-05-23 Eddie Hungopt_rmdff to work on $dffe and $_DFFE_*
2019-05-23 Stefan Biereigelfix assignment of non-wires
2019-05-23 Stefan Biereigeladd simple test case for wand/wor
2019-05-23 Stefan Biereigelfix indentation across files
2019-05-23 Stefan Biereigelimplementation for assignments working
2019-05-23 Stefan Biereigelmake lexer/parser aware of wand/wor net types
2019-05-23 Clifford WolfMerge pull request #1031 from mdaiter/optimizeLookupTab...
2019-05-22 Matthew DaiterOptimize numberOfPermutations
2019-05-22 Kaj TuomiOS X related fixes.
2019-05-22 Clifford WolfKeep zero-width wires in opt_clean if and only if they...
2019-05-22 Clifford WolfFix handling of warning and error messages within log_m...
2019-05-22 Clifford WolfMerge pull request #1019 from YosysHQ/clifford/fix1016
2019-05-22 Clifford WolfMerge pull request #1021 from ucb-bar/fixfirrtl_shr,neg
2019-05-22 Eddie HungMerge pull request #1024 from YosysHQ/eddie/fix_Wmissin...
2019-05-22 Eddie HungRename label
2019-05-22 Eddie HungTry again
2019-05-21 Eddie HungFix warning
2019-05-21 Jim LawsonFix static shift operands, neg result type, minor forma...
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-20 Clifford WolfAdd "wreduce -keepdc", fixes #1016
2019-05-18 Clifford WolfMerge pull request #1017 from Kmanfi/bigger_verilog_files
2019-05-18 Kaj TuomiRead bigger Verilog files.
2019-05-16 Clifford WolfMerge pull request #1013 from antmicro/parameter_attributes
2019-05-16 Maciej KurcAdded tests for Verilog frontent for attributes on...
2019-05-16 Maciej KurcAdded support for parsing attributes on parameters...
2019-05-15 Clifford WolfMerge pull request #1012 from YosysHQ/clifford/sigspecrw
2019-05-15 Clifford WolfImprovements in opt_clean
2019-05-15 Clifford WolfAdd rewrite_sigspecs2, Improve remove() wires
2019-05-15 Clifford WolfDo not leak file descriptors in cover.cc
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 Clifford WolfMerge pull request #1010 from hzeller/yacc-self-contained
2019-05-15 Clifford WolfMerge pull request #1008 from thasti/fix_libyosys_build
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-15 Henner ZellerFix two instances of integer-assignment to string.
2019-05-15 Henner ZellerMake the generated *.tab.hh include all the headers...
2019-05-14 Stefan Biereigelextract python prefix to allow overriding
2019-05-14 Stefan Biereigelremove ldconfig call
2019-05-14 Stefan Biereigeladd mkdir for libyosys target, explicitly copy to targe...
2019-05-14 whitequarkbugpoint: check for -script option.
2019-05-13 Sylvain Munautice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
2019-05-12 Clifford WolfMerge pull request #1004 from YosysHQ/clifford/fix1002
2019-05-12 Clifford WolfFix handling of glob_abort_cnt in opt_muxtree, fixes...
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "fmcombine -initeq -anyeq"
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-10 Makai MannZinit option '-singleton' -> '-all'
2019-05-09 Clifford WolfMerge pull request #1000 from bwidawsk/synth-format
2019-05-09 Ben WidawskyFix formatting for synth_intel.cc
2019-05-09 Ben WidawskyAdd a .clang-format
2019-05-09 Clifford WolfAdd $stop to documentation
2019-05-09 Jakob Wenzelinitialize more registers in setundef -init
2019-05-08 Clifford WolfRemove added newline (by re-running minisat 00_UPDATE.sh)
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Kristoffer... Fix all warnings that occurred when compiling with...
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-08 Matthew DaiterMinor optimization to get_attribute_bool
2019-05-07 Clifford WolfAdd test case from #997
2019-05-07 Clifford WolfFix handling of partial init attributes in write_verilo...
2019-05-07 Clifford WolfMerge pull request #996 from mdaiter/ceil_log2_opts
2019-05-07 Matthew DaiterOptimize ceil_log2 function
2019-05-07 Clifford WolfAdd "synth_xilinx -arch"
2019-05-07 Clifford WolfMore opt_clean cleanups
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge pull request #975 from YosysHQ/clifford/fix968
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfAdd tests/various/chparam.sh
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Clifford WolfFix the other bison warning in ilang_parser.y
2019-05-06 Clifford WolfBugfix in peepopt_shiftmul.pmg
2019-05-06 Clifford WolfMerge pull request #992 from bwidawsk/bison-fix
2019-05-06 Clifford WolfMerge pull request #989 from YosysHQ/dave/abc_name_improve
2019-05-06 Clifford WolfFix bug in "expose -input"
2019-05-06 Clifford WolfCleanups in opt_clean
2019-05-06 Clifford WolfImprove tests/various/specify.ys
2019-05-06 Clifford WolfAdd "real" keyword to ilang format
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Ben Widawskyverilog_parser: Fix Bison warning
2019-05-04 Clifford WolfMerge pull request #988 from YosysHQ/clifford/fix987
2019-05-04 David Shahabc: Fix handling of postfixed names (e.g. for retiming)
2019-05-04 David Shahabc: Improve name recovery
2019-05-04 Clifford WolfImprove opt_clean handling of unused wires
2019-05-04 Clifford WolfAdd support for SVA "final" keyword
2019-05-04 Clifford WolfImprove write_verilog specify support
2019-05-04 Clifford WolfUpdate README
2019-05-04 Clifford WolfAdd approximate support for SV "var" keyword, fixes...
2019-05-03 Eddie HungMore testing
2019-05-03 Eddie HungFix spacing
2019-05-03 Eddie HungAdd quick-and-dirty specify tests
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Eddie HungRename cells_map.v to prevent clash with ff_map.v
2019-05-03 Eddie Hungiverilog with simcells.v as well
2019-05-03 Clifford WolfAdd "hierarchy -chparam" support for non-verific top...
2019-05-03 Eddie Hunglog_warning_noprefix -> log_warning as per review
2019-05-03 Eddie HungFor hier_tree::Elaborate() also include SV root modules...
2019-05-03 Eddie HungFix verific_parameters construction, use attribute...
2019-05-03 Eddie HungWIP -chparam support for hierarchy when verific
2019-05-03 Eddie Hungverific_import() changes to avoid ElaborateAll()
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
next