yosys.git
2020-02-03 Rodrigo Alejandro... Merge branch 'master' of https://github.com/YosysHQ...
2020-02-03 Rodrigo Alejandro... Replaced strlen by GetSize into simplify.cc
2020-02-02 David ShahMerge pull request #1516 from YosysHQ/dave/dotstar
2020-02-02 David ShahUpdate CHANGELOG and README
2020-02-02 David Shahsv: Improve handling of wildcard port connections
2020-02-02 David Shahsv: More tests for wildcard port connections
2020-02-02 David Shahhierarchy: Correct handling of wildcard port connection...
2020-02-02 David Shahsv: Add tests for wildcard port connections
2020-02-02 David Shahhierarchy: Resolve SV wildcard port connections
2020-02-02 David Shahsv: Add lexing and parsing of .* (wildcard port conns)
2020-02-02 Rodrigo Alejandro... Removed 'synth' into tests/memfile/run-test.sh
2020-02-02 Rodrigo Alejandro... Added content1.dat into tests/memfile
2020-02-02 David ShahMerge pull request #1647 from YosysHQ/dave/sprintf
2020-02-02 David ShahMerge pull request #1657 from YosysHQ/dave/xilinx-dsp...
2020-02-02 Marcin Kościelnickixilinx: use RAM32M/RAM64M for memories with two read...
2020-02-02 Rodrigo Alejandro... Removed a line jump into the CHANGELOG
2020-02-02 Rodrigo Alejandro... Added tests/memfile to 'make test' with an extra testcase
2020-02-01 Rodrigo Alejandro... Added a test for the Memory Content File inclusion...
2020-02-01 Rodrigo Alejandro... Fixed a bug in the new feature of $readmem[hb] when...
2020-02-01 David Shahxilinx_dsp: Add multonly scratchpad var to bypass
2020-02-01 Marcin Kościelnickijson: remove the 32-bit parameter special case
2020-02-01 Rodrigo Alejandro... Modified the new search for files of $readmem[hb] to...
2020-01-31 Rodrigo Alejandro... $readmem[hb] file inclusion is now relative to the...
2020-01-31 Eddie HungMerge pull request #1668 from gsomlo/gls-abc9-external
2020-01-30 Gabriel Somloabc9: restore ability to use ABCEXTERNAL
2020-01-30 Claire WolfMerge pull request #1667 from YosysHQ/clifford/verificnand
2020-01-30 Claire WolfMerge pull request #1503 from YosysHQ/eddie/verific_help
2020-01-30 Claire WolfMerge pull request #1654 from YosysHQ/eddie/sby_fix69
2020-01-30 Claire WolfAdd Verific support for OPER_REDUCE_NAND
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2020-01-29 Claire WolfMerge pull request #1662 from YosysHQ/dave/opt-reduce...
2020-01-29 Claire WolfMerge pull request #1665 from YosysHQ/clifford/edifkeep
2020-01-29 Claire WolfMerge pull request #1659 from YosysHQ/clifford/experimental
2020-01-29 N. EngelhardtMerge pull request #1510 from pumbor/master
2020-01-29 Claire WolfPreserve wires with keep attribute in EDIF back-end
2020-01-29 Miodrag MilanovićMerge pull request #1559 from YosysHQ/efinix_test_fix
2020-01-29 Eddie HungAdd "help -all" and "help -celltypes" sanity test
2020-01-29 Eddie Hungsynth_xilinx: cleanup help
2020-01-29 Eddie Hungsynth_xilinx: fix help when no active_design; fixes...
2020-01-29 Marcin Kościelnickixilinx: Add simulation model for DSP48 (Virtex 4).
2020-01-28 Eddie HungMerge pull request #1660 from YosysHQ/eddie/abc9_unperm...
2020-01-28 Eddie HungAdd and use SigSpec::reverse()
2020-01-28 Eddie HungFix unresolved conflict from #1573
2020-01-28 Miodrag MilanovicUpdated test to use assert-max
2020-01-28 Claire WolfImprove logging use of experimental features
2020-01-28 Claire WolfMerge pull request #1567 from YosysHQ/eddie/sat_init_wa...
2020-01-28 N. EngelhardtMerge pull request #1573 from YosysHQ/eddie/xilinx_tristate
2020-01-28 David Shahopt_reduce: Call check() per run rather than per optimi...
2020-01-28 Pepijn de Vosredirect fuser stderr to /dev/null
2020-01-28 Claire WolfMerge pull request #1553 from whitequark/manual-dffx
2020-01-27 Eddie HungFix $lut input ordering -- SigSpec(std::initializer_lis...
2020-01-27 Eddie HungImport tests from #1628
2020-01-27 Eddie Hungxilinx/ice40/ecp5: undo permuting LUT masks in lut_map
2020-01-27 Eddie HungMerge pull request #1619 from YosysHQ/eddie/abc9_refactor
2020-01-27 Eddie Hungabc9_ops: add comments
2020-01-27 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-27 Eddie Hungverific: no help() when no YOSYS_ENABLE_VERIFIC
2020-01-27 Eddie Hungverific: also unflatten for 'hierarchy' flow as per...
2020-01-27 Eddie HungMerge pull request #1656 from YosysHQ/eddie/ice40_abc9_...
2020-01-27 Claire WolfAdd log_experimental() and experimental() API and ...
2020-01-27 Claire WolfMerge pull request #1658 from YosysHQ/clifford/smtbmcso...
2020-01-27 Claire WolfImprove yosys-smtbmc "solver not found" handling
2020-01-27 Claire WolfMerge pull request #1613 from porglezomp-misc/version...
2020-01-24 Eddie Hungread_aiger: set abc9_box_seq attr
2020-01-24 Eddie Hungice40: add SB_SPRAM256KA arrival time
2020-01-24 Eddie Hungice40: reduce ABC9 internal fanout warnings with a...
2020-01-24 Eddie Hungverific: unflatten struct ports
2020-01-24 Eddie Hungabc9: -reintegrate recover type from existing cell...
2020-01-24 Eddie Hungsimple_abc9 tests to discard whitebox before write...
2020-01-24 Eddie Hungsimple_abc9 tests to discard whitebox before write...
2020-01-24 Eddie Hungabc_box_id -> abc9_box_id in test
2020-01-24 Eddie Hungabc9: warning message if no modules selected
2020-01-24 Eddie HungFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
2020-01-24 Eddie HungTest for (* keep *)-ed abc9_box_id
2020-01-24 Eddie Hungabc9_ops: -prep_xaiger to skip (* keep *) cells
2020-01-24 Eddie Hungabc_box_id -> abc9_box_id in test
2020-01-23 Eddie Hungabc9_ops -prep_dff: insert async s/r mux in holes when...
2020-01-23 Eddie Hungalumacc: undo accidental commit
2020-01-22 Eddie HungFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
2020-01-22 Eddie Hungread_aiger: also parse abc9_mergeability
2020-01-22 Eddie HungMerge remote-tracking branch 'origin/eddie/abc9_fixes...
2020-01-22 Eddie HungMerge pull request #1652 from YosysHQ/eddie/abc9_fixes
2020-01-22 Eddie Hungabc9: error out if flip-flop init is 1'b1 for '-dff'
2020-01-22 Eddie Hungabc9: fix scratchpad entry abc9.verify
2020-01-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-21 Eddie Hungread_aiger: discard LUT inputs with nodeID == 0; not < 2
2020-01-21 Eddie Hungread_aiger: ignore constant inputs on LUTs
2020-01-21 Eddie Hungwrite_xaiger: fix for (* keep *) on flop output
2020-01-21 Claire WolfMerge pull request #1637 from YosysHQ/mwk/fix-1634
2020-01-21 Claire WolfMerge pull request #1629 from YosysHQ/mwk/edif-z
2020-01-20 Claire WolfMerge pull request #1621 from YosysHQ/clifford/fminit
2020-01-19 David Shahast: Add support for $sformatf system function
2020-01-18 Eddie HungMerge pull request #1643 from YosysHQ/eddie/cleanup_ari...
2020-01-18 David ShahMerge pull request #1602 from niklasnisbeth/ice40-init...
2020-01-18 Eddie HungMerge pull request #1645 from YosysHQ/eddie/fix1644
2020-01-18 Eddie Hungxilinx_dsp: another typo; move xilinx specific test
2020-01-18 Eddie Hungice40_dsp: fix typo
2020-01-18 Eddie HungConsistency
2020-01-18 Eddie Hungxilinx_dsp: add parameter defaults
2020-01-17 Eddie HungAdd #1644 testcase
next