yosys.git
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-04 whitequarkMerge pull request #1354 from emilazy/remove-which-use
2019-09-04 EmilyReplace `which` with `command -v` in Makefile too
2019-09-04 Eddie HungMerge pull request #1338 from YosysHQ/eddie/deferred_top
2019-09-04 Eddie HungSupport CEM
2019-09-03 Eddie Hungst.ffP from if to assert
2019-09-03 Eddie HungRename muxAB to postAddMux
2019-09-03 Eddie HungUse choices for addAB, now called postAdd
2019-09-03 Eddie HungAdd support for load value into DSP48E1.P
2019-09-03 Eddie HungProcess post-adder first since C could be used for...
2019-09-03 Eddie HungUse feedback path for MACC
2019-09-03 Eddie HungAdopt @cliffordwolf's suggestion
2019-09-03 Eddie HungExpand test with `hierarchy' without -auto-top
2019-09-03 Eddie HungAdd `read -noverific` before read
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-02 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-09-01 Clifford WolfMerge pull request #1347 from mmicko/fix_select_error_msg
2019-09-01 David ShahMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
2019-09-01 Miodrag MilanovicFix select command error msg, fixes issue #1081
2019-08-31 Miodrag MilanovicFix TRELLIS_FF simulation model
2019-08-31 David Shahecp5_gsr: Fix typo
2019-08-30 Eddie HungFine tune xilinx_dsp pattern matcher
2019-08-30 Eddie HungAdd macc test, with equiv_opt not currently passing
2019-08-30 Eddie Hungautoremove ffM
2019-08-30 Eddie HungRemove debug
2019-08-30 Eddie HungffM before addAB
2019-08-30 Eddie HungAnother oops
2019-08-30 Eddie HungUpdate commented out
2019-08-30 Eddie HungUpdate test for ffM
2019-08-30 Eddie HungAdd support for ffM
2019-08-30 Eddie HungUpdate comment
2019-08-30 Eddie HungAdd mul_unsigned test
2019-08-30 Eddie HungMissing dep for test_pmgen
2019-08-30 Eddie HungMissing dep for test_pmgen
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungImprove tests/ice40/macc.ys for SB_MAC16
2019-08-30 Eddie HungDo not restrict multiplier to unsigned
2019-08-30 Eddie HungNew pmgen requires explicit accept
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungFormat `-pwires`
2019-08-30 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 SergeyDegtyarmacc test fix
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-30 David ShahMerge pull request #1343 from whitequark/diamond-ffs
2019-08-30 David Shahecp5: Add simulation equivalence check for Diamond...
2019-08-30 SergeyDegtyarFix macc test
2019-08-30 SergeyDegtyardiv_mod test fix
2019-08-30 SergeyDegtyarfix div_mod test
2019-08-30 whitequarkecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.
2019-08-30 whitequarkecp5: allow (and enable by default) GSR on FD/IFS/OFS...
2019-08-30 whitequarkecp5: add missing FD primitives.
2019-08-30 whitequarkecp5: fix CEMUX on IFS/OFS primitives.
2019-08-30 SergeyDegtyarFix test for counter
2019-08-30 SergeyMerge branch 'master' into master
2019-08-30 SergeyDegtyarAdd new tests.
2019-08-30 SergeyDegtyarRemove unnecessary common.v(assertions for testbenches).
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh (unnecessary paths)
2019-08-30 SergeyDegtyarRemove simulation from run-test.sh
2019-08-30 Eddie HungMerge pull request #1337 from YosysHQ/eddie/fix_carry_w...
2019-08-30 Eddie HungNicer formatting
2019-08-30 Eddie Hungparse_xaiger() to do "clean -purge"
2019-08-30 Eddie HungOutput has priority over input when stitching in abc9
2019-08-29 Eddie HungGroup abc_* attribute doc with other attributes
2019-08-29 Eddie Hungabc9 to not call "clean" at end of run (often called...
2019-08-29 SergeyMerge pull request #2 from YosysHQ/master
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-29 Eddie HungFix typo that's gone unnoticed for 5 months!?!
2019-08-29 Eddie HungAdd constant expression attribute to test
2019-08-29 Eddie HungRemove newline
2019-08-29 Eddie HungRestore non-deferred code, deferred case to ignore...
2019-08-29 Eddie HungRename boxes too
2019-08-29 Clifford WolfBump YOSYS_VER
2019-08-29 SergeyDegtyarAdd comments for examples from Lattice user guide
2019-08-29 Eddie Hung-auto-top should check $abstract (deferred) modules...
2019-08-29 Eddie Hungread_verilog -defer should still populate module attributes
2019-08-29 Eddie HungAdd failing test
2019-08-29 Eddie HungAdd run-test.sh too
2019-08-29 Eddie HungDo not overwrite LUT param
2019-08-29 Eddie HungAdd SB_CARRY to ice40_opt test
2019-08-29 Eddie HungAdd ice40_opt test
2019-08-29 Eddie HungCleanup
2019-08-29 Eddie HungTrailing comma
2019-08-29 Eddie HungAdapt to $__ICE40_CARRY_WRAPPER
2019-08-29 Eddie HungRevert "Remove $__ICE40_FULL_ADDER handling from ice40_...
2019-08-29 Eddie HungRemove $__ICE40_FULL_ADDER handling from ice40_opt...
2019-08-29 Eddie HungUpdate box size and timings
2019-08-29 Eddie HungUpdate to new $__ICE40_CARRY_WRAPPER
2019-08-28 Eddie HungAccount for D port being a constant
2019-08-28 Eddie HungComment out *.sh used for testbenches as we have no...
2019-08-28 Eddie HungMerge pull request #1334 from YosysHQ/clifford/async2sy...
2019-08-28 Eddie HungUse equiv for memory and dpram
2019-08-28 Eddie HungUse equiv_opt for latches
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
next