nmigen.git
2018-12-14 whitequarkback.pysim: use bare ints for signal values (-5% runtime).
2018-12-14 whitequarksetup: add missing import.
2018-12-13 whitequarkback.pysim: collect handlers before running (-5% runtime).
2018-12-13 whitequarkback.pysim: allow multiple registered handlers per...
2018-12-13 whitequarkback.pysim: fix handling of process termination.
2018-12-13 whitequarkback.pysim: new simulator backend (WIP).
2018-12-13 whitequarkfhdl.cd: rename ClockDomain signals together with domain.
2018-12-13 whitequarkfhdl.ir: move Fragment prepare logic from back.rtlil.
2018-12-13 whitequarkback.verilog: remove debug code.
2018-12-13 whitequarkfhdl.ir: record port direction explicitly.
2018-12-13 whitequarkcompat.genlib.fsm: import/wrap Migen code.
2018-12-13 whitequarkfhdl.ir: a subfragment's input that we don't drive...
2018-12-13 whitequarkfhdl, back: trace and emit source locations of values.
2018-12-13 whitequarkback.rtlil: never give subfragment cells names starting...
2018-12-13 whitequarkfhdl.ir: don't crash propagataing ports in empty fragments.
2018-12-13 whitequarkfhdl.ir: implement clock domain propagation.
2018-12-13 whitequarkfhdl.ir: remove iter_domains().
2018-12-13 whitequarkfhdl: cd_name→domain.
2018-12-13 whitequarkfhdl.cd: add tests.
2018-12-13 whitequarkfhdl.xfrm: implement DomainRenamer.
2018-12-13 whitequarkfhdl.xfrm: add test for ControlInserter with subfragments.
2018-12-13 whitequarkfhdl.xfrm: add tests for ResetInserter, CEInserter.
2018-12-13 whitequarkfhdl.ir: add tests for port propagation.
2018-12-13 whitequarkSet up Travis CI.
2018-12-13 whitequarkAdd LICENSE.
2018-12-13 whitequarksetup: check Python version.
2018-12-13 whitequarkfhdl.dsl: add tests for lowering. 99% branch coverage.
2018-12-13 whitequarkfhdl.cd: rename ClockDomain.{reset→rst}.
2018-12-13 whitequarkfhdl.dsl: add tests for submodules.
2018-12-13 whitequarkfhdl.dsl: use less error-prone Switch/Case two-level...
2018-12-13 whitequarkfhdl.dsl: add tests for d.comb/d.sync, If/Elif/Else.
2018-12-13 whitequarkfhdl.ast: fix Switch._?hs_signals() for switch without...
2018-12-13 whitequarkback.verilog: detect undriven public wires using Yosys.
2018-12-13 whitequarkback.rtlil: fix swapped operands in sync assign.
2018-12-13 whitequarkback.rtlil: explain logic for CD reset insertion.
2018-12-13 whitequarkback.rtlil: explicitly set the top module.
2018-12-13 whitequarkfhdl.ir: explain how port enumeration works.
2018-12-13 whitequarkback.rtlil: explain how RTLIL conversion works.
2018-12-13 whitequarkfhdl.ir: make sure clocks and resets of used CDs appear...
2018-12-13 whitequarkback.rtlil: give clocks and resets nicer names.
2018-12-13 whitequarkcompat.fhdl.module: implement finalization.
2018-12-13 whitequarkback.rtlil: match shape of $mux ports A/B/Y.
2018-12-13 whitequarktracer: add support for Python 3.7.
2018-12-13 whitequarkfhdl.ast: bits_sign→shape.
2018-12-13 whitequarkfhdl.ast: add tests for most logic.
2018-12-13 whitequarkMeasure test coverage.
2018-12-12 whitequarkcompat.fhdl.{module,structure}: import/wrap Migen code...
2018-12-12 whitequarkcompat.fhdl.bitcontainer: import/wrap Migen code.
2018-12-12 whitequarkfhdl.ast.Signal: implement .like().
2018-12-12 whitequarkfhdl.ir: fix port threading code.
2018-12-12 whitequarkfhdl.dsl: comb/sync/sync.pix→d.comb/d.sync/d.pix.
2018-12-12 whitequarkfhdl.ast.Signal: fix typo.
2018-12-12 whitequarkfhdl.ast.Signal: implement attrs field.
2018-12-12 whitequarkgenlib.cdc.MultiReg: self.regs should be a private...
2018-12-12 whitequarkfhdl.ast.Signal: implement width derivation from min...
2018-12-12 whitequarkgenlib.cdc.MultiReg: pull in from Migen.
2018-12-12 whitequarkfhdl.ast.Signal: implement reset_less signals.
2018-12-12 whitequarkfhdl.ast.Signal: assign an internal name if tracer...
2018-12-12 whitequarkfhdl.dsl: allow f.sync["dom"] as a synonym of f.sync...
2018-12-12 whitequarkClockDomain.{rst→reset}, for consistency with ResetInse...
2018-12-12 whitequarkInitial commit.