yosys.git
2020-01-02 Clifford WolfAlways create $shl, $shr, $sshl, $sshr cells with unsig...
2020-01-01 Eddie HungMerge pull request #1606 from YosysHQ/eddie/improve_tests
2020-01-01 Eddie HungRevert insertion of 'reg', leave note behind
2020-01-01 Miodrag MilanovićMerge pull request #1605 from YosysHQ/iopad_fix
2020-01-01 Eddie HungFix anlogic async flop mapping
2020-01-01 Miodrag MilanovicAdded a test case
2020-01-01 Miodrag Milanovictake skip wire bits into account
2020-01-01 Eddie HungDo not do call equiv_opt when no sim model exists
2020-01-01 Eddie HungFix warnings
2020-01-01 Eddie HungCall equiv_opt with -multiclock and -assert
2019-12-30 Eddie HungGrammar
2019-12-30 Eddie HungUpdate timings for Xilinx S7 cells
2019-12-30 Miodrag MilanovićMerge pull request #1589 from YosysHQ/iopad_default
2019-12-30 Eddie HungMerge pull request #1599 from YosysHQ/eddie/retry_1588
2019-12-30 Eddie HungMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
2019-12-28 Miodrag MilanovicFix new tests
2019-12-28 Miodrag MilanovicMerge remote-tracking branch 'origin/master' into iopad...
2019-12-28 Miodrag MilanovicMake test without iopads
2019-12-28 Miodrag MilanovicRevert "Fix xilinx tests, when iopads are default"
2019-12-28 Eddie HungUpdate resource count
2019-12-28 Eddie HungNitpick cleanup for ecp5
2019-12-28 Eddie HungAdd #1598 testcase
2019-12-28 Eddie Hungwrite_xaiger: inherit port ordering from original module
2019-12-28 Eddie HungRevert "Merge pull request #1598 from YosysHQ/revert...
2019-12-27 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-12-27 Eddie Hungwrite_xaiger: simplify c{i,o}_bits
2019-12-27 David ShahMerge pull request #1598 from YosysHQ/revert-1588-eddie...
2019-12-27 David ShahRevert "write_xaiger: only instantiate each whitebox...
2019-12-25 Miodrag Milanovicfixed invalid char
2019-12-25 Marcin Kościelnickiiopadmap: Emit tristate buffers with const OE for some...
2019-12-25 Marcin KościelnickiMerge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen
2019-12-25 Marcin KościelnickiMinor nit fixes
2019-12-23 Eddie HungAdd DSP cascade tests
2019-12-23 Eddie HungFix OPMODE for PCIN->PCOUT cascades in xc6s, check...
2019-12-23 Eddie HungFix CEA/CEB check
2019-12-23 Eddie HungFix checking CE[AB] and for direct connections
2019-12-23 Eddie HungSupport unregistered cascades for A and B inputs
2019-12-23 Eddie HungAdd DSP48A* PCOUT -> PCIN cascade support
2019-12-23 Marcin Kościelnickixilinx: Test our DSP48A/DSP48A1 simulation models.
2019-12-22 Marcin Kościelnickixilinx_dsp: Initial DSP48A/DSP48A1 support.
2019-12-21 Miodrag MilanovicAddressed review comments
2019-12-21 Miodrag Milanoviciopad no op for compatibility with old scripts
2019-12-21 Miodrag MilanovicFix xilinx tests, when iopads are default
2019-12-21 Miodrag MilanovicMake iopad option default for all xilinx flows
2019-12-20 Eddie HungMerge pull request #1588 from YosysHQ/eddie/xaiger_cleanup
2019-12-20 Eddie HungAdd abc9_arrival times for RAM{32,64}M
2019-12-20 Eddie HungAdd RAM{32,64}M to abc9_map.v
2019-12-20 Eddie HungPut specify/endspecify inside ``
2019-12-20 Eddie HungMerge pull request #1585 from YosysHQ/eddie/fix_abc9_lut
2019-12-20 Eddie Hungwrite_xaiger: only instantiate each whitebox cell type...
2019-12-20 Eddie HungMerge pull request #1587 from YosysHQ/revert-1558-eddie...
2019-12-20 Eddie HungRevert "Optimise write_xaiger"
2019-12-20 Graham EdgecombeFix linking with Python 3.8
2019-12-20 Graham EdgecombeAdd PYTHON_CONFIG variable to the Makefile
2019-12-19 Eddie HungMerge pull request #1581 from YosysHQ/clifford/fix1565
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-19 Marcin Kościelnickixilinx: Add simulation models for remaining CLB primitives.
2019-12-19 Marcin Kościelnickixilinx_dffopt: Keep order of LUT inputs.
2019-12-18 Eddie HungInterpret "abc9 -lut" as lut string only if [0-9:]
2019-12-18 Eddie HungAdd "scratchpad" to CHANGELOG
2019-12-18 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-18 Eddie HungMerge pull request #1584 from YosysHQ/mwk/xilinx-flaky...
2019-12-18 Marcin Kościelnickitests/xilinx: fix flaky mux test
2019-12-18 Marcin Kościelnickixilinx: Add xilinx_dffopt pass (#1557)
2019-12-18 Marcin Kościelnickixilinx: Improve flip-flop handling.
2019-12-18 Clifford WolfSend people to symbioticeda.com instead of verific.com
2019-12-18 N. Engelhardtuse extra_args
2019-12-17 Clifford WolfFix sim for assignments with lhs<rhs size, fixes #1565
2019-12-17 Eddie HungCleanup
2019-12-17 Eddie HungMerge pull request #1574 from YosysHQ/eddie/xilinx_lutram
2019-12-17 Eddie HungMerge pull request #1521 from dh73/diego/memattr
2019-12-17 Eddie HungEnforce non-existence
2019-12-16 Eddie HungUpdate doc
2019-12-16 Eddie HungAdd another test
2019-12-16 Eddie HungMore sloppiness, thanks @dh73 for spotting
2019-12-16 Eddie HungAccidentally commented out tests
2019-12-16 Eddie HungAdd unconditional match blocks for force RAM
2019-12-16 Eddie HungOops
2019-12-16 Eddie HungMerge blockram tests
2019-12-16 Eddie HungUpdate xc7/xcu bram rules
2019-12-16 Eddie HungImplement 'attributes' grammar
2019-12-16 Eddie HungMerge branch 'diego/memattr' of https://github.com...
2019-12-16 Eddie HungMerge branch 'eddie/xilinx_lutram' of github.com:YosysH...
2019-12-16 Eddie HungPopulate DID/DOD even if unused
2019-12-16 Eddie HungRename *RAM{32,64}M rules to RAM{32X2,64X1}Q
2019-12-16 Diego HFixing compiler warning/issues. Moving test script...
2019-12-16 N. Engelhardtadd assert option to scratchpad command
2019-12-16 Diego HRemoving fixed attribute value to !ramstyle rules
2019-12-16 Diego HMerging attribute rules into a single match block;...
2019-12-16 Eddie HungMerge pull request #1575 from rodrigomelo9/master
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-12-16 Eddie HungMerge pull request #1578 from noopwafel/eqneq-debug
2019-12-15 Alyssa MilburnFix opt_expr.eqneq.cmpzero debug print
2019-12-13 Diego HRefactoring memory attribute matching based on IEEE...
2019-12-13 Eddie HungMerge pull request #1533 from dh73/bram_xilinx
2019-12-13 Eddie HungDisable RAM16X1D test
next