yosys.git
2019-07-09 Eddie HungClarify script -scriptwire doc
2019-07-05 Clifford WolfMerge pull request #1159 from btut/fix/1090_segfault_ce...
2019-07-04 Benedikt TutzerThrow runtime exception when trying to convert a c...
2019-07-03 Eddie HungMerge pull request #1156 from YosysHQ/eddie/fix_abc9_un...
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-03 Clifford WolfFix tests/various/specify.v
2019-07-03 Clifford WolfSome cleanups in "ignore specify parser"
2019-07-03 Clifford WolfMerge pull request #1154 from whitequark/manual-sync...
2019-07-03 Eddie Hungwrite_xaiger to treat unknown cell connections as keep-s
2019-07-03 Eddie HungAdd test
2019-07-02 Eddie HungMerge pull request #1150 from YosysHQ/eddie/script_from...
2019-07-02 whitequarkmanual: explain the purpose of `sync always`.
2019-07-02 David ShahMerge pull request #1153 from YosysHQ/dave/fix_multi_mux
2019-07-02 Eddie HungUpdate test for Pass::call_on_module()
2019-07-02 Eddie HungUse Pass::call_on_module() as per @cliffordwolf comments
2019-07-02 Eddie HungUpdate test too
2019-07-02 Eddie Hungscript -select -> script -scriptwire
2019-07-02 David Shahmemory_dff: Fix checking of feedback mux input when...
2019-07-02 Clifford WolfFix read_verilog assert/assume/etc on default case...
2019-07-01 Eddie HungSpace
2019-07-01 Eddie HungMove CHANGELOG entry from yosys-0.8 to 0.9
2019-07-01 Eddie HungMerge branch 'master' into eddie/script_from_wire
2019-07-01 Eddie HungMove abc9 from yosys-0.8 to yosys-0.9 in CHANGELOG
2019-07-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-06-30 Eddie HungComment out invalid syntax
2019-06-30 Eddie Hunginstall *_nowide.lut files
2019-06-28 Eddie HungMerge pull request #1149 from gsomlo/gls-1098-abcext...
2019-06-28 Eddie HungMerge branch 'master' into eddie/script_from_wire
2019-06-28 Eddie Hungautotest.sh to define _AUTOTB when test_autotb
2019-06-28 Eddie HungTry command in another module
2019-06-28 Eddie HungAdd to CHANGELOG
2019-06-28 Eddie HungSupport ability for "script -select" to take commands...
2019-06-28 Eddie HungAdd test
2019-06-28 Eddie HungReplace log_assert() with meaningful log_error()
2019-06-28 Eddie HungRemove peepopt call in synth_xilinx since already in...
2019-06-28 Gabriel L.... Make abc9 pass aware of optional ABCEXTERNAL override
2019-06-28 Eddie HungAdd missing CHANGELOG entries
2019-06-28 Eddie HungFix spacing
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Eddie HungAdd test from #1144, and try reading without '-specify...
2019-06-28 Eddie HungAdd generic __builtin_bswap32 function
2019-06-28 Eddie HungAlso fix write_aiger for UB
2019-06-28 Eddie HungFix more potential for undefined behaviour due to conta...
2019-06-28 Eddie HungUpdate synth_ice40 -device doc to be relevant for ...
2019-06-28 Eddie HungDisable boxing of ECP5 dist RAM due to regression
2019-06-28 Eddie HungAdd write address to abc_scc_break of ECP5 dist RAM
2019-06-28 Eddie HungFix DO4 typo
2019-06-28 Clifford WolfMerge pull request #1146 from gsomlo/gls-test-abc-ext
2019-06-28 Clifford WolfImprove specify dummy parser, fixes #1144
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-28 Gabriel L.... tests: use optional ABCEXTERNAL when specified
2019-06-27 Eddie HungReduce diff with upstream
2019-06-27 Eddie HungExtraneous newline
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungDo not use Module::remove() iterator version
2019-06-27 Eddie HungRemove redundant doc
2019-06-27 Eddie HungRemove &retime when abc9 -fast
2019-06-27 Eddie HungCleanup abc9.cc
2019-06-27 Eddie HungUndo iterator based Module::remove() for cells, as...
2019-06-27 Bogdan VukobratovicAdd help for "-sat" option inside opt_rmdff. "opt"...
2019-06-27 Bogdan VukobratovicFix memory leak when one of multiple DFF cells is remov...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungGrr
2019-06-27 Eddie HungCapitalisation
2019-06-27 Eddie HungMake CHANGELOG clearer
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungAdd warning if synth_xilinx -abc9 with family != xc7
2019-06-27 Eddie HungRemove unneeded include
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie HungAdd simcells.v, simlib.v, and some output
2019-06-27 Eddie HungAdd #1135 testcase
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Eddie HungCopy tests from eddie/fix1132
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-27 Clifford WolfAdd "pmux2shiftx -norange", fixes #1135
2019-06-27 Clifford WolfFix handling of partial covers in muxcover, fixes ...
2019-06-27 Eddie HungFix spacing
2019-06-27 Eddie HungImprove debugging message for comb loops
2019-06-27 Eddie HungAdd WE to ECP5 dist RAM's abc_scc_break too
2019-06-27 Eddie HungUpdate comment on boxes
2019-06-27 Eddie HungAdd "WE" to dist RAM's abc_scc_break
2019-06-27 Eddie HungSupport more than one port in the abc_scc_break attr
2019-06-27 Eddie HungAdd write_xaiger into CHANGELOG
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungGrrr
2019-06-26 David Shahtests: Check that Icarus can parse arch sim models
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
next