projects
/
yosys.git
/ shortlog
commit
grep
author
committer
pickaxe
?
search:
re
summary
| shortlog |
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
yosys.git
2015-04-06
Clifford Wolf
Added Xilinx bram black-box modules
commit
|
commitdiff
|
tree
2015-04-05
Clifford Wolf
Added "port_directions" to write_json output
commit
|
commitdiff
|
tree
2015-04-05
Clifford Wolf
Avoid parameter values with size 0 ($mem cells)
commit
|
commitdiff
|
tree
2015-04-05
Clifford Wolf
make all vector-size related integer params in $mem...
commit
|
commitdiff
|
tree
2015-04-05
Clifford Wolf
Added $_MUX4_, $_MUX8_, and $_MUX16_ cell types
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
Added "dffinit", Support for initialized Xilinx DFF
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
Added "init" attribute support to verilog backend
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
appnote 012 fix
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
Appnote 012
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
Updated ABC to 51705b168d7a
commit
|
commitdiff
|
tree
2015-04-04
Clifford Wolf
Merge pull request #55 from ahmedirfan1983/master
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
Update README
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
Delete btor.ys
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
Update README
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
separated memory next from write cell
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
Merge branch 'master' of https://github.com/cliffordwol...
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
Merge branch 'btor' of https://github.com/ahmedirfan198...
commit
|
commitdiff
|
tree
2015-04-03
Ahmed Irfan
appnote for verilog to btor
commit
|
commitdiff
|
tree
2015-03-29
Clifford Wolf
documentation improvements
commit
|
commitdiff
|
tree
2015-03-25
Clifford Wolf
Ignore celldefine directive in verilog front-end
commit
|
commitdiff
|
tree
2015-03-25
Clifford Wolf
Fixes in cmos_cells.v
commit
|
commitdiff
|
tree
2015-03-22
Clifford Wolf
Fixed detection of absolute paths in ABC for win32
commit
|
commitdiff
|
tree
2015-03-22
Clifford Wolf
Added blif reference to appnote 010
commit
|
commitdiff
|
tree
2015-03-20
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
commit
|
commitdiff
|
tree
2015-03-20
Clifford Wolf
fix for python 2.6.6
commit
|
commitdiff
|
tree
2015-03-18
Clifford Wolf
Fixed handling of quotes in liberty parser
commit
|
commitdiff
|
tree
2015-03-18
Clifford Wolf
Added hierarchy -auto-top
commit
|
commitdiff
|
tree
2015-03-18
Clifford Wolf
Added Verilog backend $dffsr support
commit
|
commitdiff
|
tree
2015-03-06
Clifford Wolf
Documentation for JSON format, added attributes
commit
|
commitdiff
|
tree
2015-03-05
Clifford Wolf
Added very first version of "synth_ice40"
commit
|
commitdiff
|
tree
2015-03-04
Clifford Wolf
Fixed bug in "hierarchy" for parametric designs
commit
|
commitdiff
|
tree
2015-03-03
Clifford Wolf
Json bugfix
commit
|
commitdiff
|
tree
2015-03-03
Clifford Wolf
Json backend improvements
commit
|
commitdiff
|
tree
2015-03-02
Clifford Wolf
Added write_blif -attr
commit
|
commitdiff
|
tree
2015-03-02
Clifford Wolf
Added JSON backend
commit
|
commitdiff
|
tree
2015-03-01
Clifford Wolf
Const-fold parameter defs on-demand in AstNode::detectS...
commit
|
commitdiff
|
tree
2015-02-26
Clifford Wolf
Added $assume support to write_smt2
commit
|
commitdiff
|
tree
2015-02-26
Clifford Wolf
Added non-std verilog assume() statement
commit
|
commitdiff
|
tree
2015-02-26
Clifford Wolf
Added $assume cell type
commit
|
commitdiff
|
tree
2015-02-25
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
commit
|
commitdiff
|
tree
2015-02-25
Clifford Wolf
Bugfix in iopadmap
commit
|
commitdiff
|
tree
2015-02-25
Clifford Wolf
Added "keep_hierarchy" attribute
commit
|
commitdiff
|
tree
2015-02-24
Clifford Wolf
Some cleanups in "clean"
commit
|
commitdiff
|
tree
2015-02-24
Clifford Wolf
Fixed compilation problems with gcc 4.6.3; use enum...
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Minor "write_smt2" help msg change
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Fixed "check -assert"
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Added "<mod>_a" and "<mod>_i" to write_smt2 output
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Added "check -assert" doc
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Added "check -assert"
commit
|
commitdiff
|
tree
2015-02-22
Clifford Wolf
Fixed "sat -initsteps" off-by-one bug
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Added "sat -stepsize" and "sat -tempinduct-step"
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
sat docu change
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
When "sat -tempinduct-baseonly -maxsteps N" reaches...
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Added "sat -tempinduct-baseonly -tempinduct-inductonly"
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Fixed basecase init for "sat -tempinduct"
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Fixed "flatten" for non-pre-derived modules
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Hotfix for yosysjs/demo03.html
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
YosysJS: Wait for Viz to load
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Replaced ezDefaultSAT with ezSatPtr
commit
|
commitdiff
|
tree
2015-02-21
Clifford Wolf
Catch constants assigned to cell outputs in "flatten"
commit
|
commitdiff
|
tree
2015-02-20
Clifford Wolf
Added deep recursion warning to AST simplify
commit
|
commitdiff
|
tree
2015-02-20
Clifford Wolf
Parser support for complex delay expressions
commit
|
commitdiff
|
tree
2015-02-19
Clifford Wolf
YosysJS firefox fixes
commit
|
commitdiff
|
tree
2015-02-19
Clifford Wolf
YosysJS stuff
commit
|
commitdiff
|
tree
2015-02-19
Clifford Wolf
format fixes in "sat -dump_json"
commit
|
commitdiff
|
tree
2015-02-19
Clifford Wolf
Added "sat -dump_json" (WaveJSON format)
commit
|
commitdiff
|
tree
2015-02-19
Clifford Wolf
Changed "show" defaults for Win32
commit
|
commitdiff
|
tree
2015-02-18
Clifford Wolf
Convert floating point cell parameters to strings
commit
|
commitdiff
|
tree
2015-02-18
Clifford Wolf
Fixed clang (svn trunk) warnings
commit
|
commitdiff
|
tree
2015-02-18
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
commit
|
commitdiff
|
tree
2015-02-18
Clifford Wolf
Added "select %xe %cie %coe"
commit
|
commitdiff
|
tree
2015-02-17
Clifford Wolf
wreduce help typo fix
commit
|
commitdiff
|
tree
2015-02-17
Clifford Wolf
CodingReadme
commit
|
commitdiff
|
tree
2015-02-16
Clifford Wolf
YosysJS fixes for firefox
commit
|
commitdiff
|
tree
2015-02-16
Clifford Wolf
More YosysJS stuff
commit
|
commitdiff
|
tree
2015-02-16
Clifford Wolf
Added YosysJS wrapper
commit
|
commitdiff
|
tree
2015-02-16
Clifford Wolf
Bugfix in wreduce
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
More yosys.js improvements
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Added Viz to yosys.js
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Added yosys.js FS support
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
More emcc stuff
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Improved yosys.js example
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Added "stat" to "synth" and "synth_xilinx"
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Added final checks to "synth" and "synth_xilinx"
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Added "check -noinit"
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Cosmetic fixes in "hierarchy" for blackbox modules
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
More emscripten stuff, Added example app
commit
|
commitdiff
|
tree
2015-02-15
Clifford Wolf
Fixed default EMCCFLAGS
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Smaller default parameters in $mem simlib model
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Fixed "stat" handling of blackbox modules
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Various fixes for memories with offsets
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Added $meminit support to "memory" command
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Added $meminit test case
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Added "read_verilog -nomeminit" and "nomeminit" attribute
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Creating $meminit cells in verilog front-end
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Added $meminit cell type
commit
|
commitdiff
|
tree
2015-02-14
Clifford Wolf
Fixed handling of "//" in filenames in verilog pre...
commit
|
commitdiff
|
tree
2015-02-13
Clifford Wolf
Fixed "write_verilog -attr2comment" handling of "*...
commit
|
commitdiff
|
tree
2015-02-13
Clifford Wolf
hotfix in "check" command
commit
|
commitdiff
|
tree
2015-02-13
Clifford Wolf
Added "check" command
commit
|
commitdiff
|
tree
next