yosys.git
2016-05-08 Andrew ZonenbergAdded GP_DELAY cell
2016-05-08 Andrew ZonenbergFixed typo in port name
2016-05-08 Andrew ZonenbergFixed extra semicolon
2016-05-08 Andrew ZonenbergFixed typo in parameter name
2016-05-08 Andrew ZonenbergAdded simulation timescale declaration
2016-05-07 Clifford WolfFixes for MXE build
2016-05-07 Clifford WolfAdded support for "keep" attribute to shregmap
2016-05-06 Clifford WolfAdded synth_ice40 support for latches via logic loops
2016-05-06 Clifford WolfAdded "write_blif -noalias"
2016-05-06 Clifford WolfFixed ice40_opt lut unmapping, added "ice40_opt -unlut"
2016-05-06 Clifford WolfFixed preservation of important attributes in techmap
2016-05-05 Clifford WolfMerge pull request #159 from azonenberg/master
2016-05-05 Andrew ZonenbergChanged order of passes for better handling of INIT...
2016-05-05 Andrew ZonenbergChanged port names in greenpak shregmap
2016-05-05 Andrew ZonenbergRenamed module parameter
2016-05-04 Andrew ZonenbergRefactored synth_greenpak4 to use iopadmap for mapping...
2016-05-04 Clifford WolfAdded tristate buffer support to iopadmap
2016-05-04 Clifford WolfMerge pull request #157 from azonenberg/master
2016-05-04 Andrew ZonenbergFixed incorrect signal naming in GP_IOBUF
2016-05-04 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-05-04 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-05-04 Clifford WolfFixed iopadmap attribute handling
2016-05-04 Andrew ZonenbergAdded tri-state I/O extraction for GreenPak
2016-05-04 Andrew ZonenbergAdded GreenPak I/O buffer cells
2016-05-03 Andrew ZonenbergAdded comment to clarify GP_ABUF cell
2016-05-03 Andrew ZonenbergAdded GP_ABUF cell
2016-05-02 Clifford WolfMerge pull request #154 from azonenberg/master
2016-05-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-29 Clifford WolfImproved TCL_VERSION detection so it does not read...
2016-04-29 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-28 Clifford WolfAdded "qwp -v"
2016-04-28 Andrew ZonenbergAdded GP_PGA cell
2016-04-26 Clifford WolfConnections between inputs and inouts are driven by...
2016-04-25 Clifford WolfFixed test_autotb for modules with many cell ports
2016-04-25 Clifford WolfFixed proc_mux performance bug
2016-04-25 Clifford WolfMerge pull request #150 from azonenberg/master
2016-04-25 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-25 Andrew ZonenbergRemoved VIN_BUF_EN
2016-04-24 Clifford WolfFixed performance bug in proc_dlatch
2016-04-24 Clifford WolfAdded "yosys -D ALL"
2016-04-24 Andrew ZonenbergRenamed VOUT to OUT on GP_ACMP cell
2016-04-24 Andrew ZonenbergAdded GP_ACMP cell
2016-04-23 Clifford WolfAdded "prep -flatten" and "synth -flatten"
2016-04-23 Clifford WolfConverted "prep" to ScriptPass
2016-04-23 Clifford WolfImprovements in greenpak4 shreg mapping
2016-04-23 Clifford WolfRun clean after splitnets in synth_greenpak4
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-23 Clifford WolfAdded "shregmap -zinit" for greenpak4 tech
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-23 Clifford WolfMerge https://github.com/azonenberg/yosys
2016-04-23 Clifford WolfAdded "shregmap" to synth_greenpak4
2016-04-23 Clifford WolfConverted synth_greenpak4 to ScriptPass
2016-04-23 Andrew ZonenbergFixed typo in help text
2016-04-23 Andrew ZonenbergFixed typo
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-22 Clifford WolfAdded "shregmap -tech greenpak4"
2016-04-22 Clifford WolfAdded support for "active high" and "active low" latche...
2016-04-22 Clifford WolfAdded support for "active high" and "active low" latche...
2016-04-22 Clifford WolfMore flexible handling of initialization values
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-04-21 Clifford WolfFixed performance bug in "share" pass
2016-04-21 Clifford WolfFixed handling of parameters and const functions in...
2016-04-21 Clifford WolfImprovements in opt_expr
2016-04-21 Clifford WolfBugfix and improvements in memory_share
2016-04-21 Andrew ZonenbergAdded GP_VREF cell
2016-04-19 Clifford WolfMerge pull request #149 from azonenberg/master
2016-04-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-18 Clifford WolfAdded "shregmap -params"
2016-04-18 Clifford WolfAdded "shregmap -zinit" and "shregmap -init"
2016-04-17 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-17 Clifford WolfImprovements in "shregmap"
2016-04-16 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-16 Clifford WolfAdded "shregmap" pass
2016-04-16 Clifford WolfFixed copy&paste error in log message in lut2mux
2016-04-16 Clifford WolfMinor hashlib bugfix
2016-04-14 Andrew ZonenbergAdded GP_SHREG cell
2016-04-14 Andrew ZonenbergRefactoring: alphabetized cells_sim
2016-04-09 Andrew ZonenbergFixed missing semicolon
2016-04-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-09 Andrew ZonenbergAdded GP_RCOSC cell
2016-04-08 Clifford WolfMerge pull request #147 from azonenberg/master
2016-04-07 Andrew ZonenbergFixed assertion failure for non-inferrable counters...
2016-04-07 Andrew ZonenbergAdded second divider to GP_RINGOSC
2016-04-07 Andrew ZonenbergAdded GP_RINGOSC primitive
2016-04-07 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-05 Clifford WolfHashlib indenting fix
2016-04-05 Clifford WolfAdded msan origins tracking
2016-04-05 Clifford WolfPrefer noninverting FFs in dfflibmap
2016-04-05 Clifford WolfImproved formatting of "sat" output tables
2016-04-05 Clifford WolfFixed some typos
2016-04-05 Andrew ZonenbergAdded GP_POR
2016-04-04 Andrew ZonenbergAdded GP_BANDGAP cell
2016-04-03 Clifford WolfMerge pull request #145 from laanwj/master
2016-04-03 Wladimir J... Fix a few typos in the manual
2016-04-03 Wladimir J... Add instructions for building manual on Ubuntu
2016-04-02 Clifford WolfMerge pull request #144 from azonenberg/master
2016-04-02 Andrew ZonenbergRemoved more debug prints
2016-04-02 Andrew ZonenbergRemoved forgotten debug code
2016-04-02 Andrew ZonenbergAdded GreenPak inverter support
2016-04-02 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
next