yosys.git
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Clifford WolfMerge pull request #952 from YosysHQ/clifford/fix370
2019-04-22 Clifford WolfMerge pull request #951 from YosysHQ/clifford/logdebug
2019-04-22 Clifford WolfMerge pull request #949 from YosysHQ/clifford/pmux2shim...
2019-04-22 Clifford WolfMerge pull request #953 from YosysHQ/clifford/fix948
2019-04-22 Clifford WolfAdd support for zero-width signals to Verilog back...
2019-04-22 Clifford WolfDetermine correct signedness and expression width in...
2019-04-22 Clifford WolfAdd log_debug() framework
2019-04-22 Clifford WolfMerge pull request #950 from whitequark/attrmap_remove_...
2019-04-22 whitequarkattrmap: extend -remove to allow removing attributes...
2019-04-22 Clifford WolfUpdaye pmux2shiftx test
2019-04-22 Clifford WolfAdd full_pmux feature to pmux2shiftx
2019-04-22 Clifford WolfSet ENABLE_LIBYOSYS=0 by default
2019-04-22 Clifford WolfSet ENABLE_PYOSYS=0 by default
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'dh73-master'
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfRe-added clean after techmap in synth_xilinx
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-22 Clifford WolfMerge pull request #945 from YosysHQ/clifford/libwb
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-22 Clifford WolfDisable blackbox detection in techmap files
2019-04-21 Eddie HungConvert to use #945
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Luke Wrenice40 cells_sim.v: SB_IO: update clock enable behaviour...
2019-04-21 Clifford WolfFix tests
2019-04-21 Clifford WolfAdd "noblackbox" attribute
2019-04-20 Clifford WolfNew behavior for front-end handling of whiteboxes
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Clifford WolfAuto-initialize OnehotDatabase on-demand in pmux2shiftx.cc
2019-04-20 Clifford WolfAdd "onehot" pass, improve "pmux2shiftx" onehot handling
2019-04-20 Clifford WolfAdd "techmap -wb", use in formal flows
2019-04-20 Clifford WolfCheck blackbox attribute in techmap/simplemap
2019-04-20 Clifford WolfAdd "wbflip" command
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-20 Eddie HungABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
2019-04-20 Clifford WolfImprove "pmux2shiftx"
2019-04-19 Clifford WolfFix some typos
2019-04-19 Clifford WolfImprovements in "pmux2shiftx"
2019-04-19 Eddie HungSelect to find union of both sets on stack
2019-04-19 Eddie HungFixes for simple_abc9 tests
2019-04-19 Clifford WolfImprovements in pmux2shiftx
2019-04-19 Clifford WolfAdd test for pmux2shiftx
2019-04-19 Clifford WolfImprove pmux2shift ctrl permutation finder
2019-04-19 Clifford WolfComplete rewrite of pmux2shiftx
2019-04-19 Clifford WolfImport initial pmux2shiftx from eddieh
2019-04-19 Clifford WolfImprove "show" handling of 0/1/X/Z padding
2019-04-19 Clifford WolfChange "ne" to "neq" in btor2 output
2019-04-19 Eddie HungDo not assume inst_module is always present
2019-04-19 Eddie Hungignore_boxes -> holes_mode
2019-04-19 Eddie HungMake SB_DFF whitebox
2019-04-19 Clifford WolfAdd tests/aiger/.gitignore
2019-04-19 Eddie HungSpelling fixes
2019-04-19 Eddie HungFix SB_DFF comb model
2019-04-19 Eddie HungRevert "write_json to not write contents (cells/wires...
2019-04-19 Eddie HungMissing close bracket
2019-04-19 Eddie HungAnnotate SB_DFF* with abc_flop and abc_box_id
2019-04-19 Eddie HungAdd SB_DFF* to boxes
2019-04-19 Eddie HungAdd flop support for write_xaiger
2019-04-19 Eddie Hungread_aiger to parse 'r' extension
2019-04-19 Eddie HungSpelling
2019-04-18 Clifford WolfUpdate to ABC 3709744
2019-04-18 Eddie HungMerge pull request #917 from YosysHQ/eddie/fix_retime
2019-04-18 Eddie HungUse new -wb flag for ABC flow
2019-04-18 Eddie Hungwrite_json to not write contents (cells/wires) of white...
2019-04-18 Eddie HungIgnore 'whitebox' attr in flatten with "-wb" option
2019-04-18 Eddie Hungwrite_json to not write contents (cells/wires) of white...
2019-04-18 Eddie HungIgnore 'whitebox' attr in flatten with "-wb" option
2019-04-18 Eddie HungAlso update Makefile.inc
2019-04-18 Eddie HungFix abc's remap_name to not ignore [^0-9] when extracti...
2019-04-18 Eddie HungMake SB_LUT4 a blackbox
2019-04-18 Eddie HungFix rename
2019-04-18 Eddie HungRename to abc_*.{box,lut}
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-18 Eddie HungABC to call retime all the time
2019-04-18 Clifford WolfAdd "whitebox" attribute, add "read_verilog -wb"
2019-04-18 Eddie HungRevert "synth_* with -retime option now calls abc with...
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-18 Clifford WolfImprove proc full_case detection and handling, fixes...
2019-04-17 Eddie HungSkip if abc_box_id earlier
2019-04-17 Eddie HungRemove use of abc_box_id in stat
2019-04-17 Eddie HungFix $anyseq warning and cleanup
2019-04-17 Eddie HungUpdate Makefile.inc too
2019-04-17 Eddie HungReduce to three devices: hx, lp, u
2019-04-17 Eddie HungDo not print slack histogram
2019-04-17 Eddie HungAdd up5k timings
2019-04-17 Eddie HungFix grammar
2019-04-17 Eddie HungUpdate error message
2019-04-17 Eddie HungAdd "-device" argument to synth_ice40
2019-04-17 Eddie HungMissing abc_flop_q attribute on SPRAM
2019-04-17 Eddie HungCope with inout ports
2019-04-17 Eddie HungMap to SB_LUT4 from fastest input first
2019-04-17 Eddie HungWorking ABC9 script
2019-04-17 Eddie HungStop topological sort at abc_flop_q
next