yosys.git
2015-02-22 Clifford WolfAdded "<mod>_a" and "<mod>_i" to write_smt2 output
2015-02-22 Clifford WolfAdded "check -assert" doc
2015-02-22 Clifford WolfAdded "check -assert"
2015-02-22 Clifford WolfFixed "sat -initsteps" off-by-one bug
2015-02-21 Clifford WolfAdded "sat -stepsize" and "sat -tempinduct-step"
2015-02-21 Clifford Wolfsat docu change
2015-02-21 Clifford WolfWhen "sat -tempinduct-baseonly -maxsteps N" reaches...
2015-02-21 Clifford WolfAdded "sat -tempinduct-baseonly -tempinduct-inductonly"
2015-02-21 Clifford WolfFixed basecase init for "sat -tempinduct"
2015-02-21 Clifford WolfFixed "flatten" for non-pre-derived modules
2015-02-21 Clifford WolfHotfix for yosysjs/demo03.html
2015-02-21 Clifford WolfYosysJS: Wait for Viz to load
2015-02-21 Clifford WolfReplaced ezDefaultSAT with ezSatPtr
2015-02-21 Clifford WolfCatch constants assigned to cell outputs in "flatten"
2015-02-20 Clifford WolfAdded deep recursion warning to AST simplify
2015-02-20 Clifford WolfParser support for complex delay expressions
2015-02-19 Clifford WolfYosysJS firefox fixes
2015-02-19 Clifford WolfYosysJS stuff
2015-02-19 Clifford Wolfformat fixes in "sat -dump_json"
2015-02-19 Clifford WolfAdded "sat -dump_json" (WaveJSON format)
2015-02-19 Clifford WolfChanged "show" defaults for Win32
2015-02-18 Clifford WolfConvert floating point cell parameters to strings
2015-02-18 Clifford WolfFixed clang (svn trunk) warnings
2015-02-18 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-02-18 Clifford WolfAdded "select %xe %cie %coe"
2015-02-17 Clifford Wolfwreduce help typo fix
2015-02-17 Clifford WolfCodingReadme
2015-02-16 Clifford WolfYosysJS fixes for firefox
2015-02-16 Clifford WolfMore YosysJS stuff
2015-02-16 Clifford WolfAdded YosysJS wrapper
2015-02-16 Clifford WolfBugfix in wreduce
2015-02-15 Clifford WolfMore yosys.js improvements
2015-02-15 Clifford WolfAdded Viz to yosys.js
2015-02-15 Clifford WolfAdded yosys.js FS support
2015-02-15 Clifford WolfMore emcc stuff
2015-02-15 Clifford WolfImproved yosys.js example
2015-02-15 Clifford WolfAdded "stat" to "synth" and "synth_xilinx"
2015-02-15 Clifford WolfAdded final checks to "synth" and "synth_xilinx"
2015-02-15 Clifford WolfAdded "check -noinit"
2015-02-15 Clifford WolfCosmetic fixes in "hierarchy" for blackbox modules
2015-02-15 Clifford WolfMore emscripten stuff, Added example app
2015-02-15 Clifford WolfFixed default EMCCFLAGS
2015-02-14 Clifford WolfSmaller default parameters in $mem simlib model
2015-02-14 Clifford WolfFixed "stat" handling of blackbox modules
2015-02-14 Clifford WolfVarious fixes for memories with offsets
2015-02-14 Clifford WolfAdded $meminit support to "memory" command
2015-02-14 Clifford WolfAdded $meminit test case
2015-02-14 Clifford WolfAdded "read_verilog -nomeminit" and "nomeminit" attribute
2015-02-14 Clifford WolfCreating $meminit cells in verilog front-end
2015-02-14 Clifford WolfAdded $meminit cell type
2015-02-14 Clifford WolfFixed handling of "//" in filenames in verilog pre...
2015-02-13 Clifford WolfFixed "write_verilog -attr2comment" handling of "*...
2015-02-13 Clifford Wolfhotfix in "check" command
2015-02-13 Clifford WolfAdded "check" command
2015-02-13 Clifford WolfAdded AstNode::simplify() recursion counter
2015-02-13 Clifford WolfAdded EMCCFLAGS
2015-02-12 Clifford WolfSome test related fixes
2015-02-12 Clifford WolfAdded "proc_dlatch"
2015-02-10 Clifford WolfLess aggressive "share" defaults
2015-02-10 Clifford WolfImproved read_verilog support for empty behavioral...
2015-02-10 Clifford WolfAdded "scc -expect <N> -nofeedback"
2015-02-09 Clifford WolfSome hashlib improvements
2015-02-09 Clifford WolfVarious changes to release checklist
2015-02-09 Clifford WolfFixed creation of command reference in manual
2015-02-09 Clifford WolfWe are now in 0.5+ development
2015-02-09 Clifford WolfYosys 0.5 yosys-0.5
2015-02-09 Clifford WolfBugfix in "make vcxsrc"
2015-02-09 Clifford WolfUpdated command reference in manual
2015-02-09 Clifford WolfVarious presentation fixes
2015-02-08 Clifford WolfFixed iterator invalidation bug in "rename" command
2015-02-08 Clifford WolfCodingReadme update
2015-02-08 Clifford WolfFixed bug in "show -format .."
2015-02-08 Clifford WolfAdded new APIs to changelog
2015-02-08 Clifford WolfFixed eval_select_op() api
2015-02-08 Clifford WolfAdded eval_select_args() and eval_select_op()
2015-02-08 Clifford WolfMinor "make vgtest" changes
2015-02-08 Clifford WolfVarious ModIndex improvements
2015-02-08 Clifford WolfAdded Yosys 0.5 Changelog
2015-02-08 Clifford WolfVarious updates to CodingReadme
2015-02-08 Clifford WolfAdded equiv_add
2015-02-07 Clifford WolfIgnore explicit assignments to constants in HDL code
2015-02-07 Clifford WolfFixed a bug with autowire bit size
2015-02-07 Clifford Wolffixed typo
2015-02-07 Clifford WolfAdded "yosys-config --build modname.so cppsources.."
2015-02-07 Clifford WolfAdded SigSpec::has_const()
2015-02-07 Clifford WolfCleanup in add_share_file make macro
2015-02-07 Clifford WolfRemoved "make mklibyosys"
2015-02-07 Clifford WolfImproved building of plugins
2015-02-07 Clifford WolfAdded "make uninstall"
2015-02-07 Clifford WolfAdded cell->known(), cell->input(portname), cell->outpu...
2015-02-06 Clifford WolfAdded "select -read"
2015-02-05 Clifford WolfAuto-detect TCL version
2015-02-04 Clifford WolfAdded onehot attribute
2015-02-04 Clifford WolfFixed opt_clean performance bug
2015-02-04 Clifford WolfDisabled (unused) Xilinx tristate buffers
2015-02-03 Clifford WolfUsing design->selected_modules() in opt_*
2015-02-03 Clifford WolfSkip blackbox modules in design->selected_modules()
2015-02-03 Clifford WolfAdded "yosys -L logfile"
2015-02-01 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-02-01 Clifford Wolfno support for 6-series xilinx devices
next