yosys.git
2019-04-18 Eddie Hungwrite_json to not write contents (cells/wires) of white...
2019-04-18 Eddie HungIgnore 'whitebox' attr in flatten with "-wb" option
2019-04-18 Clifford WolfAdd "whitebox" attribute, add "read_verilog -wb"
2019-04-17 Clifford WolfUpdate to ABC d1b6413
2019-04-16 Eddie HungMerge pull request #939 from YosysHQ/revert895
2019-04-16 Eddie HungRevert #895
2019-04-16 Eddie HungMerge pull request #937 from YosysHQ/revert-932-eddie...
2019-04-16 Eddie HungRevert "Recognise default entry in case even if all...
2019-04-15 Eddie HungMerge pull request #936 from YosysHQ/README-fix-quotes
2019-04-15 whitequarkREADME: fix some incorrect quoting.
2019-04-12 Eddie HungMerge pull request #928 from litghost/add_xc7_sim_models
2019-04-12 Keith RothmanRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
2019-04-12 Clifford WolfMerge pull request #933 from dh73/master
2019-04-12 Clifford WolfMerge pull request #932 from YosysHQ/eddie/fixdlatch
2019-04-12 DiegoFixing issues in CycloneV cell sim
2019-04-11 Eddie HungAdd default entry to testcase
2019-04-11 Eddie HungRecognise default entry in case even if all cases cover...
2019-04-09 Keith RothmanFix LUT6_2 definition.
2019-04-09 Keith RothmanAdd additional cells sim models for core 7-series prima...
2019-04-08 Eddie HungFix a few typos
2019-04-08 Clifford WolfMerge pull request #919 from YosysHQ/multiport_transp
2019-04-07 David Shahmemory_bram: Fix multiport make_transp
2019-04-05 Clifford WolfAdd "read_ilang -lib"
2019-04-04 Clifford WolfAdded missing argument checking to "mutate" command
2019-04-03 Eddie HungMerge pull request #913 from smunaut/fix_proc_mux
2019-04-03 Sylvain Munautproc_mux: Fix crash when trying to optimize non-existan...
2019-04-03 Clifford WolfMerge pull request #912 from YosysHQ/bram_addr_en
2019-04-03 Clifford WolfMerge pull request #910 from ucb-bar/memupdates
2019-04-02 David Shahmemory_bram: Consider read enable for address expansion...
2019-04-02 Eddie HungMerge pull request #895 from YosysHQ/pmux2shiftx
2019-04-01 Jim LawsonRefine memory support to deal with general Verilog...
2019-03-29 Clifford WolfMerge pull request #907 from YosysHQ/clifford/fix906
2019-03-29 Clifford WolfBuild Verilog parser with -DYYMAXDEPTH=100000, fixes...
2019-03-28 Clifford WolfMerge pull request #901 from trcwm/libertyfixes
2019-03-28 Clifford WolfMerge pull request #903 from YosysHQ/bram_reset_transp
2019-03-27 David Shahmemory_bram: Reset make_transp when growing read ports
2019-03-27 Niels MoseleyLiberty file parser now accepts superfluous ;
2019-03-27 Niels MoseleyLiberty file parser now accepts superfluous ;
2019-03-27 Niels MoseleyLiberty file parser now accepts superfluous ;
2019-03-27 Clifford WolfAdd "read -verific" and "read -noverific"
2019-03-27 Clifford WolfAdd "rename -output"
2019-03-27 Clifford WolfImprove "rename" help message
2019-03-26 Clifford WolfAdd "cutpoint -undef"
2019-03-26 Clifford WolfAdd "hdlname" attribute
2019-03-26 Clifford WolfFix "verific -extnets" for more complex situations
2019-03-25 Clifford WolfAdd "cutpoint" pass
2019-03-25 Eddie HungCreate one $shiftx per bit in width
2019-03-25 Clifford WolfMerge pull request #896 from YosysHQ/transp_fixes
2019-03-25 Clifford WolfMerge pull request #897 from trcwm/libertyfixes
2019-03-25 Niels Moseleyspaces -> tabs
2019-03-25 Niels MoseleyEOL is now accepted as ';' replacement on lines that...
2019-03-24 Niels MoseleyUpdated the liberty parser to accept [A:B] ranges ...
2019-03-24 David Shahmemory_bram: Fix multiclock make_transp
2019-03-23 Eddie HungAdd a pmux-to-shiftx optimisation to proc_mux
2019-03-23 Clifford WolfAdd "mutate -none -mode", "mutate -mode none"
2019-03-23 Clifford WolfAdd "mutate -s <filename>"
2019-03-23 Clifford WolfMerge pull request #893 from YosysHQ/clifford/btormeminit
2019-03-23 Clifford WolfAdd support for memory initialization to write_btor
2019-03-23 Clifford WolfFix BTOR output tags syntax in writye_btor
2019-03-23 Clifford WolfAdd RTLIL::Const::ext[su](), fix RTLIL::SigSpec::extend...
2019-03-22 Clifford WolfMerge pull request #889 from YosysHQ/clifford/fix888
2019-03-22 Clifford WolfMerge pull request #890 from YosysHQ/clifford/fix887
2019-03-22 David ShahMerge pull request #891 from YosysHQ/xilinx_keep
2019-03-22 David Shahxilinx: Add keep attribute where appropriate
2019-03-22 Clifford WolfTrim init attributes when resizing FFs in "wreduce...
2019-03-21 Clifford WolfFix mem2reg handling of memories with upto data ports...
2019-03-21 Clifford WolfImprove "read_verilog -dump_vlog[12]" handling of upto...
2019-03-21 Clifford WolfImprove read_verilog debug output capabilities
2019-03-19 Clifford WolfMerge pull request #885 from YosysHQ/clifford/fix873
2019-03-19 Clifford WolfAdd Xilinx negedge FFs to synth_xilinx dffinit call...
2019-03-19 Eddie HungMerge pull request #808 from eddiehung/read_aiger
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-19 Eddie HungAdd author name
2019-03-19 Clifford WolfMerge pull request #884 from zachjs/master
2019-03-19 Zachary Snowfix local name resolution in prefix constructs
2019-03-17 Clifford WolfUpdate issue template
2019-03-17 Clifford WolfUpdate issue template
2019-03-16 Clifford WolfMerge pull request #877 from FelixVi/master
2019-03-16 Felix VietmeyerAdd note about test requirements in README
2019-03-15 Clifford WolfImprove mix of src/wire/wirebit coverage in "mutate...
2019-03-15 Clifford WolfMerge pull request #876 from YosysHQ/clifford/fmcombine
2019-03-15 Clifford WolfAdd "fmcombine -fwd -bwd -nop"
2019-03-15 Clifford WolfAdd fmcombine pass
2019-03-14 Clifford WolfMerge pull request #875 from YosysHQ/clifford/mutate
2019-03-14 Clifford WolfDisable realmath tests
2019-03-14 Clifford WolfImprovements in "mutate" list-reduce algorithm
2019-03-14 Clifford WolfAdd "mutate -cfg", improve pick_cover behavior
2019-03-14 Clifford WolfAdd a strictly coverage-driven mutation selection strategy
2019-03-14 Clifford WolfImprove "mutate" wire coverage metric
2019-03-14 Clifford WolfAdd more mutation types, improve mutation src cover
2019-03-14 Clifford WolfFix smtbmc.py handling of zero appended steps
2019-03-14 Clifford WolfAdd "mutate" command DB reduce functionality
2019-03-14 Clifford WolfAdd hashlib "<container>::element(int n)" methods
2019-03-14 Clifford WolfAdd "mutate -mode inv", various other mutate improvements
2019-03-14 Clifford WolfAdd basic "mutate -list N" framework
2019-03-14 Clifford WolfMerge pull request #874 from YosysHQ/clifford/andopt
2019-03-14 Clifford WolfImprove handling of and-with-1 and or-with-0 in opt_exp...
2019-03-14 Clifford WolfMerge pull request #872 from YosysHQ/clifford/pmuxfix
2019-03-14 Clifford WolfImprove handling of "full_case" attributes
2019-03-14 Clifford WolfFix a syntax bug in ilang backend related to process...
next