yosys.git
2020-01-21 Claire WolfMerge pull request #1637 from YosysHQ/mwk/fix-1634
2020-01-21 Claire WolfMerge pull request #1629 from YosysHQ/mwk/edif-z
2020-01-20 Claire WolfMerge pull request #1621 from YosysHQ/clifford/fminit
2020-01-18 Eddie HungMerge pull request #1643 from YosysHQ/eddie/cleanup_ari...
2020-01-18 David ShahMerge pull request #1602 from niklasnisbeth/ice40-init...
2020-01-18 Eddie HungMerge pull request #1645 from YosysHQ/eddie/fix1644
2020-01-18 Eddie Hungxilinx_dsp: another typo; move xilinx specific test
2020-01-18 Eddie Hungice40_dsp: fix typo
2020-01-18 Eddie HungConsistency
2020-01-18 Eddie Hungxilinx_dsp: add parameter defaults
2020-01-17 Eddie HungAdd #1644 testcase
2020-01-17 Eddie Hungsynth_ice40: call wreduce before mul2dsp
2020-01-17 Eddie Hungice40_dsp: add test
2020-01-17 Eddie Hungice40_dsp: add default values for parameters
2020-01-17 Eddie Hungice40_dsp: tolerant of fanout-less outputs, as well...
2020-01-17 Eddie HungDeprecate `_CLB_CARRY from +/xilinx/arith_map.v since...
2020-01-17 Eddie Hung+/xilinx/arith_map.v fix $lcu rule
2020-01-16 Eddie HungMerge pull request #1639 from YosysHQ/eddie/fix_read_xaiger
2020-01-15 Eddie Hungabc9: aAdd test to check $_NOT_s are absorbed
2020-01-15 Eddie Hungread_aiger: $lut prefix in front
2020-01-15 Miodrag MilanovićMerge pull request #1636 from YosysHQ/eddie/fix_synth_x...
2020-01-14 Eddie HungMerge pull request #1635 from YosysHQ/eddie/print_stats
2020-01-14 Marcin Kościelnickifsm_detect: Add a cache to avoid excessive CPU usage...
2020-01-14 Eddie HungMerge pull request #1633 from YosysHQ/eddie/fix_autoname
2020-01-14 Eddie HungMerge pull request #1632 from YosysHQ/eddie/fix1630
2020-01-14 Eddie HungAs before, only display MEM if Linux or FreeBSD
2020-01-14 Eddie Hungsynth_xilinx: fix default W value for non-xc7
2020-01-14 Eddie Hungprint_stats footer to return peak memory, option for...
2020-01-14 Miodrag MilanovićMerge pull request #1623 from YosysHQ/mmicko/edif_attr
2020-01-14 Eddie Hungautoname: do not autoname ports
2020-01-14 Eddie Hungautoname: add testcase with $-prefix-ed port
2020-01-14 Eddie Hungread_aiger: also rename "$0"
2020-01-14 Eddie Hungread_aiger: uniquify wires with $aiger<autoidx> prefix
2020-01-14 Eddie HungAdd #1630 testcase
2020-01-14 Eddie Hungread_aiger: make $and/$not/$lut the prefix not suffix
2020-01-13 Eddie HungMerge pull request #1620 from YosysHQ/eddie/abc9_scratchpad
2020-01-13 Eddie HungMerge pull request #1627 from YosysHQ/eddie/fix1626
2020-01-13 Marcin Kościelnickiedif: Just ignore connections to 'z
2020-01-12 Eddie HungAdd #1626 testcase
2020-01-12 Eddie Hungsynth_ice40: -abc2 to always use `abc` even if `-abc9`
2020-01-12 Eddie HungAnother conflict
2020-01-12 Eddie Hungwrite_xaiger: create holes_sigmap before modifications
2020-01-12 Eddie HungMIssed this merge conflict
2020-01-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-11 Eddie HungMerge pull request #1625 from YosysHQ/eddie/abc9_mfs
2020-01-11 Eddie Hungabc9: fix help message, found by @nakengelhardt
2020-01-11 Eddie Hungwrite_xaiger: sort holes by offset as well as port_id
2020-01-11 Eddie Hungabc9: remove -nomfs option
2020-01-11 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-11 Eddie HungBump ABCREV for upstream fix
2020-01-11 Eddie HungMerge pull request #1622 from YosysHQ/clifford/onpassreg
2020-01-10 Eddie HungCopy-pasta
2020-01-10 Eddie Hungsynth_xilinx: synth_xilinx.abc9.xc7.W to replace XC7_WI...
2020-01-10 Eddie Hungabc9: add abc9.verify and abc9.debug options
2020-01-10 Eddie HungMerge pull request #1624 from YosysHQ/eddie/abc9_leak
2020-01-10 Eddie Hungabc9: fix memory leak
2020-01-10 Eddie Hungabc9: fix typos
2020-01-10 Miodrag Milanovicthis one is fine
2020-01-10 Miodrag MilanovicFix tests
2020-01-10 Miodrag Milanovicremove whitespace
2020-01-10 Miodrag MilanovicUse CARRY4 for abc1 as well, preventing issues with...
2020-01-10 Miodrag MilanovicExport wire properties as well in EDIF
2020-01-10 Eddie HungAdd abc9 sanity test
2020-01-10 Eddie HungTune abc9.script.flow
2020-01-10 Eddie HungAdd '-v' to &if for abc9.script.default.fast
2020-01-10 Eddie Hungabc9: start post-fix with semicolon
2020-01-10 Eddie Hungabc9.script.* constpad entries to start with '+'
2020-01-10 Eddie HungRevert "abc9: if -script value is a file, then source...
2020-01-10 Eddie HungMove abc9.* constpad entries to Abc9Pass::on_register()
2020-01-10 Eddie HungMerge remote-tracking branch 'origin/clifford/onpassreg...
2020-01-09 Clifford WolfBump version
2020-01-09 Clifford WolfAdd Pass::on_register() and Pass::on_shutdown()
2020-01-09 Clifford WolfAdd fminit pass
2020-01-09 Eddie Hungwrite_xaiger: cleanup holes generation
2020-01-08 Eddie Hungwrite_xaiger: holes PIs only if whitebox
2020-01-08 Eddie HungAdd abc9.if.script.flow{,2} to constpad
2020-01-08 Eddie Hungscratchpad entry abc9.if.R to &if -R
2020-01-08 Eddie Hungabc9: if -script value is a file, then source it, other...
2020-01-08 Eddie Hungabc9: add time as last script command
2020-01-08 Eddie HungFix {C} substitution
2020-01-08 Eddie HungAdd RTLIL::constpad, init by yosys_setup(); use for...
2020-01-08 Eddie HungFix abc9_xc7.box comments
2020-01-07 Eddie HungFix abc9_xc7.box comments
2020-01-07 Eddie Hungscc to use design->selected_modules() which avoids...
2020-01-07 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-07 Eddie HungBump ABCREV for upstream fix
2020-01-07 Eddie HungMerge pull request #1618 from YosysHQ/eddie/aiger_fixes
2020-01-07 Eddie Hungread_aiger: consistency between ascii and binary; also...
2020-01-07 Eddie HungAdd testcases
2020-01-07 Eddie Hungtests/aiger: write Yosys output
2020-01-07 Eddie Hungread_aiger: connect identical signals together
2020-01-07 Eddie Hungread_aiger: cope with latches and POs with same name
2020-01-07 Eddie Hungread_aiger: default -clk_name to be empty
2020-01-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2020-01-07 Eddie HungMerge pull request #1181 from YosysHQ/xaig_dff
2020-01-07 Eddie HungFix DSP48E1 sim
2020-01-07 Eddie HungAdd testcase from #1459
2020-01-07 Eddie HungRe-enable &mfs for synth_{ecp5,xilinx}
2020-01-07 Eddie HungBump ABCREV
2020-01-06 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
next