yosys.git
2019-06-26 Eddie HungReturn to upstream synth_xilinx with opt -full and...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungRestore sigmap wrapper
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungAdd more tests
2019-06-26 Eddie Hungmuxcover to be undef-sensitive when computing decoders
2019-06-26 Eddie HungRevert "Rework muxcover decoder gen if more significant...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungLet's not go crazy: use nonzero costs
2019-06-26 Eddie HungRework muxcover decoder gen if more significant muxes...
2019-06-26 Eddie HungAdd tests
2019-06-26 Eddie HungInstead of blocking wreduce on $mux, use -keepdc instea...
2019-06-26 Eddie HungDo not call opt with -full before muxcover
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungCleanup abc_box_id
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Miodrag MilanovicSimulation model verilog fix
2019-06-26 Eddie Hungsynth_ecp5 rename -nomux to -nowidelut, but preserve...
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Eddie HungRename -minmuxf to -widemux
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Clifford WolfImprove opt_clean handling of unused public wires
2019-06-26 Eddie HungMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
2019-06-26 Clifford WolfImprove BTOR2 handling of undriven wires
2019-06-26 David Shahabc9: Add wire delays to synth_ice40
2019-06-26 Clifford WolfFix segfault on failed VERILOG_FRONTEND::const2ast...
2019-06-26 Clifford WolfDo not clean up buffer cells with "keep" attribute...
2019-06-26 Clifford WolfEscape scope names starting with dollar sign in smtio.py
2019-06-26 whitequarkAdd more ECP5 Diamond flip-flops.
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungMissing muxpack.o in Makefile
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungThis optimisation doesn't seem to work...
2019-06-25 Eddie HungAdd testcase from #335, fixed by #1130
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungFix spacing
2019-06-25 Eddie HungMove only one consumer check outside of while loop
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Clifford WolfMerge pull request #1075 from YosysHQ/eddie/muxpack
2019-06-25 Eddie Hungnullptr check
2019-06-25 Eddie Hungnullptr check
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungUse LUT delays for dist RAM delays
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungFix for abc_scc_break is bus
2019-06-25 Eddie HungMore meaningful error message
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungRevert "Re-enable dist RAM boxes for ECP5"
2019-06-25 Eddie HungDo not use log_id as it strips \\, also fix scc for...
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungAdd Xilinx dist RAM as comb boxes
2019-06-25 Eddie HungFix abc9's scc breaker, also break on abc_scc_break...
2019-06-25 Eddie HungAdd tests/various/abc9.{v,ys} with SCC test
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungWalk through as many muxes as exist for rd_en
2019-06-25 Eddie HungAdd test
2019-06-24 Eddie HungMerge remote-tracking branch 'origin/eddie/ram32x1d...
2019-06-24 Eddie HungAdd RAM32X1D support
2019-06-24 Eddie HungReduce MuxFx resources in mux techmapping
2019-06-24 Eddie HungReduce number of decomposed muxes during techmap
2019-06-24 Eddie HungRevert "Fix techmapping muxes some more"
2019-06-24 Eddie HungMove comment
2019-06-24 Eddie HungFix techmapping muxes some more
2019-06-24 Eddie HungFix mux techmapping
2019-06-24 Eddie HungModify costs for muxcover
2019-06-24 Eddie HungRevert Makefile
2019-06-24 Eddie HungChange synth_xilinx's -nomux to -minmuxf <int>
2019-06-24 Clifford WolfMerge pull request #1124 from mmicko/json_ports
2019-06-23 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-22 Eddie HungAdd comments to ecp5 box
2019-06-22 Eddie HungAdd comment to xc7 box
2019-06-22 Eddie HungFix and cleanup ice40 boxes for carry in/out
2019-06-22 Eddie HungCarry in/out box ordering now move to end, not swap...
2019-06-22 Eddie HungRemove DFF and RAMD box info for now
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungAdd 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG
2019-06-22 Eddie HungRemove $_MUX4_ techmap rule
2019-06-22 Eddie HungFix wreduce call (!!!), tweak muxcover costs
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-22 Eddie HungFix CHANGELOG
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungReduce log_debug spam in parse_xaiger()
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungDo not rename non LUT cells in abc9
next