yosys.git
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-16 Clifford WolfAdd "verific -set-<severity> <msg_id>.."
2018-08-16 Clifford WolfVerific workaround for VIPER ticket 13851
2018-08-15 Clifford WolfMerge pull request #605 from mmicko/master
2018-08-15 Miodrag MilanovicChanges for MXE configuration in order to compile
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #592 from japm48/master
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-08-14 Clifford WolfFix use of signed integers in JSON back-end
2018-08-14 Clifford WolfMerge pull request #602 from litghost/add_eblif_extension
2018-08-13 litghostMap .eblif extension as blif.
2018-08-08 Clifford WolfFixed use of char array for string in blifparse error...
2018-08-08 Clifford WolfMerge pull request #596 from litghost/extend_blif_parser
2018-08-08 litghostReport error reason on same line as syntax error.
2018-08-06 Clifford WolfMerge pull request #600 from jpathy/patch-1
2018-08-06 Clifford WolfMerge pull request #599 from kbeckmann/kbeckmann/fix_re...
2018-08-06 jpathyUse `realpath`
2018-08-06 Konrad Beckmannreadme: Fix formatting of a keyword
2018-08-03 litghostUse log_warning which does not immediately terminate.
2018-08-02 litghostAdd BLIF parsing support for .conn and .cname
2018-07-22 japm48fix basys3 example
2018-07-22 Clifford WolfVerific: Produce errors for instantiating unknown module
2018-07-22 Clifford WolfAdd missing <deque> include (MSVC build fix)
2018-07-22 Clifford WolfUpodate ABC to git rev ae6716b
2018-07-22 Clifford WolfAdd missing -lz to MXE build
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-21 Henner ZellerFix remaining log_file_error(); emit dependent file...
2018-07-20 Clifford WolfMerge pull request #586 from hzeller/more-sourcepos...
2018-07-20 Henner ZellerConvert more log_error() to log_file_error() where...
2018-07-20 Clifford WolfMerge pull request #585 from hzeller/use-file-warning...
2018-07-20 Henner ZellerUse log_file_warning(), log_file_error() functions.
2018-07-20 Clifford WolfMerge pull request #584 from hzeller/provide-source...
2018-07-19 Henner ZellerProvide source-location logging.
2018-07-19 Clifford WolfAdd async2sync pass
2018-07-18 Aman GoelMerge pull request #2 from YosysHQ/master
2018-07-17 Clifford WolfFix handling of eventually properties in verific importer
2018-07-16 Clifford WolfFix verific -vlog-incdir and -vlog-libdir handling
2018-07-16 Clifford WolfMerge pull request #581 from daveshah1/ecp5
2018-07-16 Clifford WolfFix "read -incdir"
2018-07-16 David Shahecp5: Fixing miscellaneous sim model issues
2018-07-16 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-07-16 Clifford WolfAdd "read -incdir"
2018-07-16 David Shahecp5: Fixing 'X' issues with LUT simulation models
2018-07-16 David Shahecp5: ECP5 synthesis fixes
2018-07-14 David Shahecp5: Adding synchronous set/reset support
2018-07-13 David Shahecp5: Add DRAM match rule
2018-07-13 David Shahecp5: Cells and mappings fixes
2018-07-13 David Shahecp5: Fixing arith_map
2018-07-13 David Shahecp5: Initial arith_map implementation
2018-07-13 David Shahecp5: Adding basic synth_ecp5 based on synth_ice40
2018-07-13 David Shahecp5: Adding DFF maps
2018-07-13 Clifford WolfMerge pull request #580 from daveshah1/ice40_nx
2018-07-13 David Shahecp5: Adding DRAM map
2018-07-13 David Shahecp5: Adding basic cells_sim and mapper for LUTs up...
2018-07-13 David Shahice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
2018-07-06 William D.... Gate POSIX-only signals and resource module to only...
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-29 Clifford WolfFix verific eventually handling
2018-06-29 Clifford WolfAdd verific support for eventually properties
2018-06-29 Clifford WolfAdd "verific -formal" and "read -formal"
2018-06-28 Clifford WolfAdd "read -sv -D" support
2018-06-28 Clifford WolfAdd "read -undef"
2018-06-28 Clifford WolfFix handling of signed memories
2018-06-27 William D.... Add support for 64-bit builds using msys2 environment.
2018-06-27 William D.... Use msys2-provided pthreads instead of abc's.
2018-06-22 Clifford WolfAdd YOSYS_NOVERIFIC env variable for temporarily disabl...
2018-06-21 Clifford WolfAdd simplified "read" command, enable extnets in implic...
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfAdd automatic verific import in hierarchy command
2018-06-20 Clifford WolfMerge pull request #572 from q3k/q3k/fix-protobuf-build
2018-06-20 Sergiusz BazanskiFix protobuf build
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend
2018-06-19 Clifford WolfBe slightly less aggressive in "deminout" pass
2018-06-19 Clifford WolfMerge pull request #570 from edcote/patch-4
2018-06-19 Edmond CoteInclude module name for area summary stats
2018-06-15 Clifford WolfBugfix in liberty parser (as suggested by aiju in ...
2018-06-13 Clifford WolfAdd "synth_ice40 -json"
2018-06-11 Clifford WolfFix ice40_opt for cases where a port is connected to...
2018-06-06 Udi FinkelsteinDetect illegal port declaration, e.g input/output/inout...
2018-06-06 Clifford WolfMerge pull request #561 from udif/pr_skip_typo
2018-06-05 Udi FinkelsteinFixed typo (sikp -> skip)
2018-06-05 Udi FinkelsteinModified errors into warnings
2018-06-05 Udi Finkelsteinreg_wire_error test needs the -sv flag so it is run...
2018-06-01 Clifford WolfAdd (* gclk *) attribute support
2018-06-01 Clifford WolfAdd setundef -anyseq / -anyconst support to -undriven...
2018-06-01 Clifford WolfAdd "setundef -anyconst"
2018-05-31 Clifford WolfBugfix in handling of array instances with empty ports
2018-05-30 Clifford WolfUpdate examples/cmos/counter.ys to use "synth" command
2018-05-30 Clifford WolfMake -nordff the default in "prep"
2018-05-30 Clifford WolfUpdate ABC to git rev 6df1396
2018-05-28 Clifford WolfDisable memory_dff for initialized FFs
2018-05-28 Clifford WolfAdd some cleanup code to memory_nordff
2018-05-28 Clifford WolfAdd comment to VIPER #13453 work-around
2018-05-25 Clifford WolfFix Verific handling of single-bit anyseq/anyconst...
next