yosys.git
2018-05-24 Clifford WolfFix VerificClocking for cases where Verific generates...
2018-05-24 Clifford WolfFix verific handling of anyconst/anyseq attributes
2018-05-19 Clifford WolfMerge pull request #454 from rqou/emscripten-and-abc
2018-05-19 Robert OuForce abc to align memory to 8 bytes
2018-05-19 Robert OuModify emscripten main to mount nodefs and to run arg...
2018-05-19 Robert OuForce abc to be linked statically and without threads...
2018-05-19 Robert OuFix infinite loop in abc command under emscripten
2018-05-19 Robert OuFix reading techlibs under emscripten
2018-05-19 Robert OuAdd options to disable abc's usage of pthreads and...
2018-05-19 Robert OuAdd an option to statically link abc into yosys
2018-05-19 Robert OuMakefile: Make abc always use stdint.h
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Clifford WolfMerge pull request #551 from olofk/ice40_cells_sim_ports
2018-05-17 Olof KindgrenAvoid mixing module port declaration styles in ice40...
2018-05-17 Jim ParisSupport SystemVerilog `` extension for macros
2018-05-17 Jim ParisSkip spaces around macro arguments
2018-05-15 Clifford WolfFix handling of anyconst/anyseq attrs in VHDL code...
2018-05-15 Aman GoelCorrection to -expose with setundef
2018-05-15 Clifford WolfRemove mercurial from build instructions
2018-05-15 Clifford WolfFix iopadmap for loops between tristate IO buffers
2018-05-15 Clifford WolfFix iopadmap for cases where IO pins already have buffe...
2018-05-14 Aman GoelMinor correction
2018-05-14 Aman GoelCorrections to option -expose in setundef pass
2018-05-13 Aman GoelAdd option -expose to setundef pass
2018-05-13 Clifford WolfSome cleanups in setundef.cc
2018-05-13 Clifford WolfUse $(OS) in makefile to check for Darwin
2018-05-13 Clifford WolfMerge pull request #505 from thefallenidealist/FreeBSD_...
2018-05-13 Christian KrämerAdd "#ifdef __FreeBSD__"
2018-05-13 Clifford WolfRevert "Add "#ifdef __FreeBSD__""
2018-05-12 Sergiusz BazanskiAlso interpret '&' in liberty functions
2018-05-12 Clifford WolfAdd optimization of tristate buffer with constant contr...
2018-05-12 Clifford WolfAdd "hierarchy -simcheck"
2018-05-06 Johnny Sorocilupdate README
2018-05-06 Johnny Sorocilautotest.sh: Change from /bin/bash to /usr/bin/env...
2018-05-06 Johnny SorocilEnable building on FreeBSD
2018-05-05 Clifford WolfFurther improve handling of zero-length SVA consecutive...
2018-05-05 Clifford WolfFix handling of zero-length SVA consecutive repetition
2018-05-05 Johnny SorocilAdd "#ifdef __FreeBSD__"
2018-05-04 Clifford WolfAdd ABC FAQ to "help abc"
2018-05-04 Clifford WolfAdd "yosys -e regex" for turning warnings into errors
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-05-03 Clifford WolfReplace -ignore_redef with -[no]overwrite
2018-05-03 Dan GisselquistSupport more character literals
2018-04-30 Clifford WolfUpdate ABC to git rev f23ea8e
2018-04-30 Clifford WolfAdd "synth_intel --noiopads"
2018-04-22 Clifford WolfAdd $dlatch support to write_verilog
2018-04-18 Tim 'mithro... Improving vpr output support.
2018-04-18 Tim 'mithro... synth_ice40: Rework the vpr blif output slightly.
2018-04-16 Clifford WolfAdd "synth_ice40 -nodffe"
2018-04-15 Clifford WolfAdd "write_blif -inames -iattr"
2018-04-13 Clifford WolfAdd statement labels for immediate assertions
2018-04-12 Clifford WolfAllow "property" in immediate assertions
2018-04-12 Clifford WolfImprove Makefile error handling for when abc/ is a...
2018-04-07 Clifford WolfAdd PRIM_HDL_ASSERTION support to Verific importer
2018-04-06 Clifford WolfFix handling of $global_clocking in Verific
2018-04-06 Clifford WolfAdd documentation for anyconst/anyseq/allconst/allseq...
2018-04-06 Clifford WolfAdd read_verilog anyseq/anyconst/allseq/allconst attrib...
2018-04-06 Clifford WolfAdd Verific anyseq/anyconst/allseq/allconst attribute...
2018-04-06 Clifford WolfAdd "verific -autocover"
2018-04-06 Clifford WolfMerge pull request #530 from makaimann/set-ram-flags
2018-04-06 makaimannSet RAM runtime flags for Verific frontend
2018-04-05 Clifford WolfAdded missing dont_use handling for SR FFs to dfflibmap
2018-04-04 Clifford WolfCreate issue_template.md
2018-04-04 Clifford WolfAdd smtio.py support for parsing SMT2 (_ bvX n) syntax...
2018-04-04 Clifford WolfFixed -stbv handling in SMT2 back-end
2018-04-01 Clifford WolfMerge pull request #522 from c60k28/master
2018-04-01 c60k28Fixed broken Quartus backend on dffeas init value ...
2018-03-31 Clifford WolfRemove left-over log_ping debug commands.. oops.
2018-03-31 Clifford WolfMerge pull request #521 from azonenberg/for_clifford
2018-03-31 Robert Oucoolrunner2: Add an ANDTERM/XOR between chained FFs
2018-03-31 Robert Oucoolrunner2: Split multi-bit nets
2018-03-31 Robert Oucoolrunner2: Add extraction for TFFs
2018-03-29 Clifford WolfAdd smtio status msgs when --progress is inactive
2018-03-29 Clifford WolfBugfix in smtio.py VCD file generator
2018-03-29 Clifford WolfRemoved $timescale from "sat" command VCD writer
2018-03-27 Clifford WolfSet stack size to at least 128 MB (large stack needed...
2018-03-27 Clifford WolfFix tests/simple/specify.v
2018-03-27 Udi FinkelsteinFirst draft of Verilog parser support for specify block...
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-27 Clifford WolfChenged "extensions_map" to "extensions_list" in hierar...
2018-03-27 Clifford WolfMerge pull request #518 from xerpi/master
2018-03-27 Sergi Granellpasses/hierarchy: Reduce code duplication in expand_module
2018-03-27 Clifford WolfAdd $mem support to SMT2 clock tagging
2018-03-26 Clifford WolfFix build for new ABC location on github, also update...
2018-03-26 Clifford WolfAdd .sv support to "hierarchy -libdir"
2018-03-26 Clifford WolfFix handling of unclocked immediate assertions in Verif...
2018-03-20 Edmond CoteRename rename to renames
2018-03-17 Clifford WolfImprove yosys-smtbmc log output and error handling
2018-03-17 Clifford WolfImprove handling of invalid check-sat result in smtio.py
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfAdd todo for more features to verificsva.cc
2018-03-15 Clifford WolfImprove import of memories via Verific
2018-03-14 Clifford WolfFix handling of SV compilation units in Verific front-end
2018-03-12 Clifford WolfAdd "expose -input"
2018-03-12 Clifford WolfAdd "setundef -undef"
2018-03-11 Udi FinkelsteinThis PR should be the base for discussion, do not merge...
2018-03-11 Larry DoolittleSquelch trailing whitespace, including meta-whitespace
2018-03-11 Larry DoolittleHarmonize uses of _WIN32 macro
2018-03-10 Clifford WolfFix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
next