yosys.git
2019-01-04 Clifford WolfRemove -m32 Verific eval lib build instructions
2019-01-04 Clifford WolfMerge pull request #776 from mmicko/unify_noflatten
2019-01-04 Clifford WolfUpdate Verific default path
2019-01-04 Miodrag MilanovicUnify usage of noflatten among architectures
2019-01-03 Clifford WolfMerge pull request #775 from whitequark/opt_flowmap
2019-01-03 whitequarkflowmap: new techmap pass.
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 whitequarkopt_expr: improve simplification of comparisons with...
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfImprove VerificImporter support for writes to asymmetri...
2019-01-02 Clifford WolfFix VerificImporter asymmetric memories error message
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2019-01-02 whitequarkopt_lut: reflect changes in sigmap.
2019-01-02 whitequarkopt_lut: use a worklist, and revisit cells affected...
2019-01-02 whitequarkopt_lut: count eliminated cells, and set opt.did_someth...
2019-01-02 whitequarksynth_ice40: use 4-LUT coarse synthesis mode.
2019-01-02 whitequarksynth: add k-LUT mode.
2019-01-02 whitequarksynth: improve script documentation. NFC.
2019-01-02 whitequarkcmp2lut: new techmap pass.
2019-01-02 whitequarkopt_expr: refactor simplification of unsigned X<onehot...
2019-01-02 whitequarkopt_expr: refactor simplification of signed X>=0 and...
2019-01-02 whitequarkopt_expr: simplify any unsigned comparisons with all...
2019-01-01 Clifford WolfMerge pull request #768 from whitequark/opt_lut_elim
2018-12-31 whitequarkopt_lut: eliminate LUTs evaluating to constants or...
2018-12-31 Clifford WolfFix handling of (* keep *) wires in wreduce
2018-12-31 Clifford WolfMerge pull request #766 from Icenowy/anlogic-latches
2018-12-29 Larry DoolittleFix 7 instances of add_share_file to add_gen_share_file
2018-12-29 Larry DoolittleSquelch a little more trailing whitespace
2018-12-25 Icenowy Zhenganlogic: add latch cells
2018-12-23 Clifford WolfMerge pull request #761 from whitequark/proc_clean_partial
2018-12-23 Clifford WolfAdd "read_ilang -[no]overwrite"
2018-12-23 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-12-23 whitequarkproc_clean: remove any empty cases if all cases use...
2018-12-22 Clifford WolfMerge pull request #757 from whitequark/manual_mem
2018-12-22 whitequarkproc_clean: remove any empty cases at the end of the...
2018-12-21 whitequarkmanual: make description of $meminit ports match reality.
2018-12-21 Clifford WolfMerge pull request #758 from whitequark/tcl_script_args
2018-12-21 Clifford WolfMerge pull request #759 from whitequark/memory_collect_...
2018-12-21 whitequarkmemory_collect: do not truncate 'x from \INIT.
2018-12-20 whitequarkmanual: fix typos.
2018-12-20 whitequarktcl: add support for passing arguments to scripts.
2018-12-20 whitequarkmanual: document $meminit cell and memory_* passes.
2018-12-19 Icenowy Zhenganlogic: implement DRAM initialization
2018-12-19 Clifford WolfMerge pull request #752 from Icenowy/anlogic-lut-cost
2018-12-19 Clifford WolfMerge pull request #753 from Icenowy/anlogic-makefile-fix
2018-12-19 Clifford WolfMerge pull request #749 from Icenowy/anlogic-dram-fix
2018-12-19 Icenowy Zhenganlogic: fix Makefile.inc
2018-12-19 Icenowy ZhengAnlogic: let LUT5/6 have more cost than LUT4-
2018-12-18 Clifford WolfMinor style fixes
2018-12-18 Clifford WolfMerge pull request #748 from makaimann/add-btor-ops
2018-12-18 Clifford WolfMerge pull request #751 from daveshah1/fix_589
2018-12-18 David Shahmemory_dff: Fix typo when checking init value
2018-12-18 Clifford WolfFix segfault in AST simplify
2018-12-18 Icenowy Zhenganlogic: set the init value of DFFs
2018-12-18 Icenowy ZhengAdd "dffinit -noreinit" parameter
2018-12-18 Clifford WolfImprove src tagging (using names and attrs) of cells...
2018-12-18 Icenowy ZhengAdd "dffinit -strinit high low"
2018-12-18 Icenowy Zhenganlogic: fix dbits of Anlogic Eagle DRAM16X4
2018-12-17 makaimannAdd btor ops for $mul, $div, $mod and $concat
2018-12-17 Clifford WolfMerge pull request #746 from Icenowy/anlogic-dram
2018-12-17 Clifford WolfMerge pull request #742 from whitequark/changelog
2018-12-17 Clifford WolfMerge pull request #741 from whitequark/ilang_slice_sigspec
2018-12-17 Clifford WolfMerge pull request #744 from whitequark/write_verilog_...
2018-12-17 Icenowy Zhenganlogic: add support for Eagle Distributed RAM
2018-12-17 Icenowy ZhengRevert "Leave only real black box cells"
2018-12-16 Clifford WolfMerge pull request #745 from YosysHQ/revert-714-abc_pre...
2018-12-16 Clifford WolfRevert "Proof-of-concept: preserve naming through ABC...
2018-12-16 whitequarkwrite_verilog: handle the $shift cell.
2018-12-16 whitequarkUpdate CHANGELOG.
2018-12-16 whitequarkread_ilang: allow slicing sigspecs.
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 whitequarkselect: print selection if a -assert-* flag causes...
2018-12-16 Clifford WolfRename "fine:" label to "map:" in "synth_ice40"
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 whitequarkwrite_verilog: add a missing newline.
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #739 from whitequark/patch-1
2018-12-16 whitequarkAdd .editorconfig file.
2018-12-16 Clifford WolfFix equiv_opt indenting
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #734 from grahamedgecombe/fix-shuffl...
2018-12-16 Clifford WolfMerge pull request #730 from smunaut/ffssr_dont_touch
2018-12-16 Clifford WolfMerge pull request #729 from whitequark/write_verilog_i...
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
2018-12-16 Clifford WolfMerge pull request #714 from daveshah1/abc_preserve_naming
2018-12-16 Clifford WolfMerge pull request #723 from whitequark/synth_ice40_map...
2018-12-16 Clifford WolfMerge pull request #722 from whitequark/rename_src
2018-12-16 Clifford WolfMerge pull request #720 from whitequark/master
2018-12-14 Sylvain Munautverilog_parser: Properly handle recursion when processi...
2018-12-12 David Shahdeminout: Consider $tribuf cells
2018-12-12 David Shahdeminout: Don't demote constant-driven inouts to inputs
2018-12-11 Graham Edgecombememory_bram: Fix initdata bit order after shuffling
2018-12-10 Clifford WolfAdd yosys-smtbmc support for btor witness
next