yosys.git
2014-07-16 Clifford WolfChanged tests/techmap/mem_simple_4x1_map for new $mem...
2014-07-16 Clifford Wolfimproved opt_reduce for $mem/$memwr WR_EN multiplexers
2014-07-16 Clifford Wolfchanges in verilog frontend for new $mem/$memwr WR_EN...
2014-07-16 Clifford WolfChanges to "memory" pass for new $memwr/$mem WR_EN...
2014-07-16 Clifford WolfUpdated simlib to new $mem/$memwr interface
2014-07-16 Clifford WolfChanged the $mem/$memwr WR_EN input to a per-data-bit...
2014-07-16 Clifford WolfAdded note to "make test": use git checkout of iverilog
2014-07-12 Clifford WolfAdded passing of various options to vhdl2verilog
2014-07-11 Clifford WolfUse "verilog -sv" to parse .sv files
2014-07-11 Clifford WolfFixed processing of initial values for block-local...
2014-07-05 Clifford Wolfnow ignore init attributes on non-register wires in...
2014-07-02 Clifford Wolffixed parsing of constant with comment between size...
2014-07-02 Clifford Wolfsmall changes in presentation
2014-06-29 Clifford WolfTiny fix in presentation
2014-06-29 Clifford WolfProgress in presentation
2014-06-28 Clifford WolfAdded links to some liberty files to README
2014-06-26 Clifford WolfProgress in presentation
2014-06-25 Clifford WolfFixed handling of mixed real/int ternary expressions
2014-06-24 Clifford WolfMore found_real-related fixes to AstNode::detectSignWid...
2014-06-22 Clifford WolfProgress in presentation
2014-06-21 Clifford WolfLittle steps in realmath test bench
2014-06-21 Clifford Wolffixed signdness detection for expressions with reals
2014-06-21 Clifford Wolffixed typo
2014-06-21 Clifford WolfProgress in presentation
2014-06-19 Clifford WolfDo not create $dffsr cells with no-op resets in proc_dff
2014-06-17 Clifford WolfAdded test case for AstNode::MEM2REG_FL_CMPLX_LHS
2014-06-17 Clifford WolfAdded AstNode::MEM2REG_FL_CMPLX_LHS
2014-06-17 Clifford WolfImproved handling of relational op of real values
2014-06-16 Clifford WolfLittle steps in realmath test bench
2014-06-16 Clifford WolfImproved ternary support for real values
2014-06-16 Clifford WolfUse undef (x/z vs. NaN) rules for real values from...
2014-06-16 Clifford WolfFixed parsing of TOK_INTEGER (implies TOK_SIGNED)
2014-06-16 Clifford WolfAdded found_real feature to AstNode::detectSignWidth
2014-06-15 Clifford WolfAdded more calls to "hierarchy" to README file
2014-06-15 Clifford WolfRemoved long running tests from tests/simple/realexpr...
2014-06-15 Clifford WolfAdded tests/realmath to "make test"
2014-06-15 Clifford WolfImproved AstNode::realAsConst for large numbers
2014-06-15 Clifford WolfImproved realmath test bench
2014-06-15 Clifford WolfImproved parsing of large integer constants
2014-06-15 Clifford WolfImproved AstNode::asReal for large integers
2014-06-14 Clifford Wolfimproved realmath test bench
2014-06-14 Clifford Wolfimproved (fixed) conversion of real values to bit vectors
2014-06-14 Clifford Wolfprogress in realmath test bench
2014-06-14 Clifford WolfFixed relational operators for const real expressions
2014-06-14 Clifford Wolfadded first draft of real math testcase generator
2014-06-14 Clifford WolfProgress in presentation
2014-06-14 Clifford WolfAdded %D and %c select commands
2014-06-14 Clifford WolfAdded support for math functions
2014-06-14 Clifford WolfAdded realexpr.v test case
2014-06-14 Clifford WolfAdded handling of real-valued parameters/localparams
2014-06-14 Clifford WolfImplemented more real arithmetic
2014-06-14 Clifford WolfImplemented basic real arithmetic
2014-06-14 Clifford WolfAdded real->int convertion in ast genrtlil
2014-06-13 Clifford WolfAdded Verilog lexer and parser support for real values
2014-06-12 Clifford WolfAdded read_verilog -sv options, added support for bit...
2014-06-08 Clifford WolfNow we are in Yoys 0.3.0+ development
2014-06-08 Clifford WolfTagging Yosys 0.3.0 yosys-0.3.0
2014-06-08 Clifford WolfUpdated ABC to 7600ffb9340c
2014-06-07 Clifford Wolfadded tests for new verilog features
2014-06-07 Clifford Wolffixed cell array handling of positional arguments
2014-06-07 Clifford WolfAdd support for cell arrays
2014-06-07 Clifford WolfAdded support for repeat stmt in const functions
2014-06-06 Clifford Wolffurther improved const function support
2014-06-06 Clifford Wolfmade the generate..endgenrate keywords optional
2014-06-06 Clifford Wolfimproved const function support
2014-06-06 Clifford Wolffix functions with no block (but single statement,...
2014-06-06 Clifford WolfAdded tests/simple/repwhile.v
2014-06-06 Clifford Wolfimproved ast simplify of const functions
2014-06-06 Clifford Wolfadded while and repeat support to verilog parser
2014-06-04 Clifford WolfImproved error message for options after front-end...
2014-06-03 Clifford Wolfadded tee cmd
2014-06-01 Clifford WolfFixed log messages in memory_dff
2014-05-29 Clifford WolfUpdated ABC to rev fa4404b395f0
2014-05-29 Clifford WolfMerge pull request #36 from hansiglaser/master
2014-05-28 Johann Glaseradded log_header to miter and expose pass, show cell...
2014-05-28 Johann Glasernew flags -ignore_miss_func and -ignore_miss_dir for...
2014-05-26 Johann Glaserbe more verbose when techmap yielded processes
2014-05-12 Clifford WolfFixed bug in opt_reduce (see vloghammer issue_044)
2014-05-10 Clifford Wolffixed syntax error in dot file created by "show" command
2014-05-09 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-05-09 Clifford WolfUpdated ABC to 67c84cdd49e4
2014-05-06 Clifford WolfProgress in presentation
2014-05-06 Clifford WolfImproved ezsat stand-alone tests
2014-05-03 Clifford Wolfworkaround for OpenBSD 'stdout' implementation
2014-05-02 Clifford Wolfworkaround for OpenBSD 'stdin' implementation
2014-05-02 Clifford WolfMerge pull request #35 from bentley/dox
2014-05-02 Anthony J.... Typos and grammar fixes through chapter 4.
2014-04-20 Clifford WolfFixed clang -Wdeprecated-register warnings
2014-04-20 Clifford WolfReplaced depricated %name-prefix= bison directive
2014-04-20 Clifford Wolfminisat compile fix
2014-04-18 Clifford WolfUpdated README
2014-04-11 Clifford WolfMerge pull request #33 from bentley/dox
2014-04-11 Anthony J.... Typos and grammar fixes through chapter 2.
2014-04-05 Clifford WolfMerge pull request #31 from bentley/posix-rm
2014-04-04 Anthony J.... POSIX find requires a path argument.
2014-04-04 Anthony J.... Remove non-POSIX 'rm -v'.
2014-04-02 Clifford WolfAdded SIMLIB_NOLUT to simlib.v
2014-04-02 Clifford WolfAdded SIMLIB_NOSR to simlib.v
2014-03-31 Clifford WolfAdded support for dlatchsr cells
2014-03-20 Clifford WolfFixed mapping of Verific WIDE_DFFRS operator
next