yosys.git
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungIn order to indicate a failed pattern, blacklist?
2019-04-26 Eddie HungAdd test
2019-04-26 Eddie HungElaborate on help message
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-26 Eddie HungAdd split_shiftx command
2019-04-26 Eddie HungMake pmgen support files more generic
2019-04-26 Eddie Hungsynth_xilinx to call bitblast_shiftx
2019-04-25 Eddie HungMisspelling
2019-04-25 Eddie HungRemove topo sort no-loop assertion, with test
2019-04-24 Eddie HungAdd -nocarry option to synth_xilinx
2019-04-23 Eddie HungFix abc9 with (* keep *) wires
2019-04-23 Eddie HungRefactor into AigerReader::post_process()
2019-04-23 Clifford WolfMerge pull request #957 from YosysHQ/oai4fix
2019-04-23 David ShahFixes for OAI4 cell implementation
2019-04-23 Eddie HungFormat some names using inline code
2019-04-23 Eddie HungFix spelling
2019-04-23 Clifford WolfRemove some left-over log_dump()
2019-04-23 Eddie HungTweak
2019-04-23 Eddie HungFix for A_WIDTH == 2 but B_WIDTH==3
2019-04-23 Eddie HungTrim A_WIDTH by Y_WIDTH-1
2019-04-22 Eddie HungAdd comment
2019-04-22 Eddie HungFix for mux_case_* mappings
2019-04-22 Eddie HungFix for non-pow2 width muxes
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Eddie HungAdd synth_xilinx -nomux option
2019-04-22 Eddie HungCleanup, call pmux2shiftx even without -nosrl
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungTemporarily remove 'r' extension
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungUpdate help message
2019-04-22 Eddie HungAllow POs to be PIs in XAIG
2019-04-22 Eddie HungRemove kernel/cost.cc since master has refactored it
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Clifford WolfMerge pull request #952 from YosysHQ/clifford/fix370
2019-04-22 Clifford WolfMerge pull request #951 from YosysHQ/clifford/logdebug
2019-04-22 Clifford WolfMerge pull request #949 from YosysHQ/clifford/pmux2shim...
2019-04-22 Clifford WolfMerge pull request #953 from YosysHQ/clifford/fix948
2019-04-22 Eddie HungMove 'shregmap -tech xilinx' into map_cells
2019-04-22 Clifford WolfAdd support for zero-width signals to Verilog back...
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfDetermine correct signedness and expression width in...
2019-04-22 Clifford WolfAdd log_debug() framework
2019-04-22 Clifford WolfMerge pull request #950 from whitequark/attrmap_remove_...
2019-04-22 whitequarkattrmap: extend -remove to allow removing attributes...
2019-04-22 Clifford WolfUpdaye pmux2shiftx test
2019-04-22 Clifford WolfAdd full_pmux feature to pmux2shiftx
2019-04-22 Clifford WolfSet ENABLE_LIBYOSYS=0 by default
2019-04-22 Clifford WolfSet ENABLE_PYOSYS=0 by default
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'dh73-master'
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfRe-added clean after techmap in synth_xilinx
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-22 Clifford WolfMerge pull request #945 from YosysHQ/clifford/libwb
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-22 Clifford WolfDisable blackbox detection in techmap files
2019-04-21 Eddie HungTidy up, fix for -nosrl
2019-04-21 Eddie HungConvert to use #945
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'map_cells_before_map_luts' into xc7srl
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungAdd comments
2019-04-21 Eddie HungUse new pmux2shiftx from #944, remove my old attempt
2019-04-21 Luke Wrenice40 cells_sim.v: SB_IO: update clock enable behaviour...
2019-04-21 Clifford WolfFix tests
2019-04-21 Clifford WolfAdd "noblackbox" attribute
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Clifford WolfNew behavior for front-end handling of whiteboxes
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin' into xc7srl
2019-04-20 Clifford WolfAuto-initialize OnehotDatabase on-demand in pmux2shiftx.cc
2019-04-20 Clifford WolfAdd "onehot" pass, improve "pmux2shiftx" onehot handling
2019-04-20 Clifford WolfAdd "techmap -wb", use in formal flows
2019-04-20 Clifford WolfCheck blackbox attribute in techmap/simplemap
2019-04-20 Clifford WolfAdd "wbflip" command
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-20 Eddie HungABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
2019-04-20 Clifford WolfImprove "pmux2shiftx"
2019-04-19 Clifford WolfFix some typos
2019-04-19 Clifford WolfImprovements in "pmux2shiftx"
2019-04-19 Eddie HungSelect to find union of both sets on stack
2019-04-19 Eddie HungFixes for simple_abc9 tests
2019-04-19 Clifford WolfImprovements in pmux2shiftx
2019-04-19 Clifford WolfAdd test for pmux2shiftx
2019-04-19 Clifford WolfImprove pmux2shift ctrl permutation finder
2019-04-19 Clifford WolfComplete rewrite of pmux2shiftx
2019-04-19 Clifford WolfImport initial pmux2shiftx from eddieh
2019-04-19 Clifford WolfImprove "show" handling of 0/1/X/Z padding
2019-04-19 Clifford WolfChange "ne" to "neq" in btor2 output
2019-04-19 Eddie HungDo not assume inst_module is always present
2019-04-19 Eddie Hungignore_boxes -> holes_mode
2019-04-19 Eddie HungMake SB_DFF whitebox
next