2020-03-05 |
Eddie Hung | Merge pull request #1739 from YosysHQ/eddie/issue1738 |
commit | commitdiff | tree |
2020-03-05 |
Eddie Hung | ice40: fix specify for ICE40_{LP,U} |
commit | commitdiff | tree |
2020-03-05 |
Eddie Hung | tests: extend tests/arch/run-tests.sh for defines |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | ice40: fix implicit signal in specify, also clamp negat... |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | Merge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1 |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | xilinx: consider DSP48E1.ADREG |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | xilinx: cleanup DSP48E1 handling for abc9 |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | xilinx: improve specify for DSP48E1 |
commit | commitdiff | tree |
2020-03-04 |
Eddie Hung | xilinx: missing DSP48E1.PCIN timing from abc9_{map... |
commit | commitdiff | tree |
2020-03-03 |
N. Engelhardt | Merge pull request #1691 from ZirconiumX/use-flowmap... |
commit | commitdiff | tree |
2020-03-03 |
Claire Wolf | Fix bison warning for "pure-parser" option |
commit | commitdiff | tree |
2020-03-03 |
Claire Wolf | Merge pull request #1718 from boqwxp/precise_locations |
commit | commitdiff | tree |
2020-03-03 |
Claire Wolf | Merge pull request #1681 from YosysHQ/eddie/fix1663 |
commit | commitdiff | tree |
2020-03-03 |
Claire Wolf | Merge pull request #1519 from YosysHQ/eddie/submod_po |
commit | commitdiff | tree |
2020-03-02 |
Marcelina Kościelnicka | iopadmap: Look harder for already-present buffers.... |
commit | commitdiff | tree |
2020-03-02 |
Eddie Hung | Merge pull request #1724 from YosysHQ/eddie/abc9_specify |
commit | commitdiff | tree |
2020-03-02 |
N. Engelhardt | Merge pull request #1729 from rqou/coolrunner2 |
commit | commitdiff | tree |
2020-03-02 |
R. Ou | coolrunner2: Attempt to give wires/cells more meaningfu... |
commit | commitdiff | tree |
2020-03-02 |
R. Ou | coolrunner2: Fix invalid multiple fanouts of XOR/OR... |
commit | commitdiff | tree |
2020-03-02 |
R. Ou | coolrunner2: Fix packed register+input buffer insertion |
commit | commitdiff | tree |
2020-03-02 |
R. Ou | coolrunner2: Insert many more required feedthrough... |
commit | commitdiff | tree |
2020-02-29 |
Eddie Hung | Merge pull request #1727 from YosysHQ/eddie/fix_write_smt2 |
commit | commitdiff | tree |
2020-02-28 |
Eddie Hung | ystests: fix write_smt2_write_smt2_cyclic_dependency_fail |
commit | commitdiff | tree |
2020-02-28 |
Eddie Hung | Merge pull request #1726 from YosysHQ/eddie/fix1710 |
commit | commitdiff | tree |
2020-02-28 |
Dan Ravensloft | Add -flowmap to synth and synth_ice40 |
commit | commitdiff | tree |
2020-02-28 |
Eddie Hung | ast: fixes #1710; do not generate RTLIL for unreachable... |
commit | commitdiff | tree |
2020-02-28 |
Eddie Hung | Comment out log() |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Remove RAMB{18,36}E1 from cells_xtra.py |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Small fixes |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Fixes for older compilers |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Revert "Fix tests/arch/xilinx/fsm.ys to count flops... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ast: quiet down when deriving blackbox modules |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: suppress -prep_box warning for abc9_flop |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | xilinx: Update RAMB* specify entries |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ice40: add delays to SB_CARRY |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | xilinx: add delays to INV |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Make TimingInfo::TimingInfo(SigBit) constructor explicit |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | TimingInfo: index by (port_name,offset) |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Fix spacing |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | More +/ice40/cells_sim.v fixes |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Cleanup tests |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Update bug1630.ys to use -lut 4 instead of lut file |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Make +/xilinx/cells_sim.v legal |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: still emit delay table even box has no timing |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | write_xaiger: add comment about arrival times of flop... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: demote lack of box timing info to warning |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Get rid of (* abc9_{arrival,required} *) entirely |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: use TimingInfo for -prep_{lut,box} too |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: use TimingInfo for -prep_{lut,box} too |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: add and use new TimingInfo struct |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Fix tests/arch/xilinx/fsm.ys to count flops only |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Expand +/xilinx/cells_sim.v to keep ICARUS and non... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ice40: fix specify for inverted clocks |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Fix tests by gating some specify constructs from iverilog |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Update simple_abc9 tests |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: ignore (* abc9_flop *) if not '-dff' |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ice40: specify fixes |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: sort LUT delays to be ascending |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ice40: move over to specify blocks for -abc9 |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | synth_ecp5: use +/abc9_model.v |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Update xilinx for ABC9 |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Create +/abc9_model.v for $__ABC9_{DELAY,FF_} |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: output LUT area |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ecp5: remove small LUT entries |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: cope with T_LIMIT{,2}_{MIN,TYP,MAX} and auto... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Fix commented out specify statement |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | xilinx: improve specify functionality |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | ecp5: deprecate abc9_{arrival,required} and *.{lut... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | xilinx: use specify blocks in place of abc9_{arrival... |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | Auto-generate .box/.lut files from specify blocks |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: assert on $specify2 properties |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: -prep_box, to be called once |
commit | commitdiff | tree |
2020-02-27 |
Eddie Hung | abc9_ops: -prep_lut and -write_lut to auto-generate... |
commit | commitdiff | tree |
2020-02-27 |
Claire Wolf | Merge pull request #1709 from rqou/coolrunner2_counter |
commit | commitdiff | tree |
2020-02-27 |
Claire Wolf | Merge pull request #1708 from rqou/coolrunner2-buf-fix |
commit | commitdiff | tree |
2020-02-27 |
Piotr Binkowski | xilinx: mark IOBUFDSE3 IOB pin as external |
commit | commitdiff | tree |
2020-02-26 |
Miodrag Milanović | Merge pull request #1705 from YosysHQ/logger_pass |
commit | commitdiff | tree |
2020-02-26 |
Miodrag Milanovic | Remove tests for now |
commit | commitdiff | tree |
2020-02-24 |
Alberto Gonzalez | Change attribute search value to specify precise locati... |
commit | commitdiff | tree |
2020-02-24 |
Alberto Gonzalez | Change attribute search value to specify precise locati... |
commit | commitdiff | tree |
2020-02-23 |
Miodrag Milanovic | Add tests for logger pass |
commit | commitdiff | tree |
2020-02-23 |
Miodrag Milanovic | Remove duplicate warning detection |
commit | commitdiff | tree |
2020-02-23 |
Miodrag Milanovic | Fix line endings |
commit | commitdiff | tree |
2020-02-23 |
Alberto Gonzalez | Closes #1717. Add more precise Verilog source location... |
commit | commitdiff | tree |
2020-02-22 |
Eddie Hung | Merge pull request #1715 from boqwxp/master |
commit | commitdiff | tree |
2020-02-22 |
Miodrag Milanovic | Update explanation for expect-no-warnings |
commit | commitdiff | tree |
2020-02-22 |
Miodrag Milanovic | Handle expect no warnings together with expected |
commit | commitdiff | tree |
2020-02-22 |
Miodrag Milanovic | Check other regex parameters |
commit | commitdiff | tree |
2020-02-22 |
Alberto Gonzalez | Closes #1714. Fix make failure when NDEBUG=1. |
commit | commitdiff | tree |
2020-02-21 |
Eddie Hung | Merge pull request #1703 from YosysHQ/eddie/specify_improve |
commit | commitdiff | tree |
2020-02-20 |
Claire Wolf | Merge pull request #1642 from jjj11x/jjj11x/sv-enum |
commit | commitdiff | tree |
2020-02-20 |
Miodrag Milanovic | check for regex errors |
commit | commitdiff | tree |
2020-02-19 |
Eddie Hung | verilog: add support for more delays than just rise... |
commit | commitdiff | tree |
2020-02-19 |
Eddie Hung | clean: ignore specify-s inside cells when determining... |
commit | commitdiff | tree |
2020-02-17 |
Miodrag Milanovic | Prevent double error message |
commit | commitdiff | tree |
2020-02-17 |
Miodrag Milanovic | Option to expect no warnings |
commit | commitdiff | tree |
2020-02-17 |
Miodrag Milanovic | Add to changelog |
commit | commitdiff | tree |
2020-02-17 |
Miodrag Milanovic | No new error if already failing |
commit | commitdiff | tree |
2020-02-17 |
R. Ou | coolrunner2: Use extract_counter to optimize counters |
commit | commitdiff | tree |
2020-02-17 |
R. Ou | extract_counter: Implement extracting up counters |
commit | commitdiff | tree |
next |