yosys.git
2022-02-06 Marcelina Kościelnickanexus: Fix arith_map CO signal.
2022-02-03 github-actions... Bump version
2022-02-02 Miodrag MilanovićMerge pull request #3183 from YosysHQ/micko/nto1mux
2022-02-02 Miodrag MilanovicUse bmux for NTO1MUX
2022-02-02 Miodrag MilanovićMerge pull request #3182 from yrabbit/wip-doc2
2022-02-02 YRabbitCorrect a typo in the manual
2022-02-02 Scott ThibaultUpdate comment
2022-02-02 Scott ThibaultFix unextend method for signed constants
2022-01-31 Miodrag MilanovićMerge pull request #3176 from higuoxing/fix-ref-manual
2022-01-31 github-actions... Bump version
2022-01-31 Marcelina Kościelnickaverilog backend: Emit a `wire` for ports as well.
2022-01-30 Xing GUOFix the help message of synth_quicklogic.
2022-01-30 Marcelina Kościelnickaopt_reduce: Add $bmux and $demux optimization patterns.
2022-01-29 github-actions... Bump version
2022-01-28 Marcelina KościelnickaAdd $bmux and $demux cells.
2022-01-28 Marcelina Kościelnickaopt_dff: Don't mutate muxes while ModWalker is active.
2022-01-28 Marcelina Kościelnickakernel/mem: Add read-first semantic emulation code.
2022-01-28 github-actions... Bump version
2022-01-27 Marcelina Kościelnickamanual: Fix a custom pass example.
2022-01-27 Marcelina Kościelnickamemory_bram: Make use of new mem emulation functions...
2022-01-27 Marcelina Kościelnickakernel/mem: Add functions to emulate read port enable...
2022-01-27 github-actions... Bump version
2022-01-26 Miodrag Milanovićchange to windows-2019
2022-01-20 github-actions... Bump version
2022-01-19 gatecatnexus: Fix BB sim model
2022-01-19 Miodrag MilanovicRemoved dbits 8 since 9 will always be picked
2022-01-19 Miodrag MilanovićMerge pull request #3120 from Icenowy/anlogic-bram
2022-01-18 github-actions... Bump version
2022-01-17 Miodrag MilanovićMerge pull request #3162 from YosysHQ/mmicko/windows_gu...
2022-01-17 Miodrag MilanovićUpdate guidelines/Windows
2022-01-17 N. EngelhardtMerge pull request #3145 from nakengelhardt/advertise_s...
2022-01-17 N. Engelhardtmention distributions' package manager
2022-01-17 Miodrag MilanovićAdd info about VS build
2022-01-12 github-actions... Bump version
2022-01-11 Miodrag MilanovicForgot one
2022-01-11 Miodrag MilanovicChange url to https
2022-01-11 Miodrag MilanovicNext dev cycle
2022-01-11 Miodrag MilanovicRelease version 0.13 yosys-0.13
2022-01-11 Miodrag MilanovicUpdate CHANGELOG
2022-01-09 github-actions... Bump version
2022-01-08 Zachary Snowsv: auto add nosync to certain always_comb local vars
2022-01-08 Zachary Snowsv: fix size cast internal expression extension
2022-01-05 github-actions... Bump version
2022-01-04 Zachary Snowlogger: fix unmatched expected warnings and errors
2022-01-04 Austin Seippopt_dff: fix sequence point copy paste bug
2022-01-04 N. Engelhardtmention tabby+oss cad suite in readme
2022-01-04 gatecatmanual: Fix cell-stmt order
2022-01-04 github-actions... Bump version
2022-01-03 Zachary Snowfix iverilog compatibility for new case expr tests
2022-01-03 Zachary Snowfixup verilog doubleslash test
2022-01-03 Zachary Snowsv: fix size cast clipping expression width
2022-01-03 Miodrag MilanovicUpdate manual
2021-12-26 github-actions... Bump version
2021-12-25 CatherineMerge pull request #3127 from whitequark/cxxrtl-no...
2021-12-25 Catherinecxxrtl: don't reset elided wires with \init attribute.
2021-12-22 github-actions... Bump version
2021-12-21 Loftyintel_alm: disable 256x40 M10K mode
2021-12-21 github-actions... Bump version
2021-12-20 Marcelina Kościelnickamemory_share: Fix SAT-based sharing for wide ports.
2021-12-19 github-actions... Bump version
2021-12-18 Zachary Snowfix width detection of array querying function in case...
2021-12-17 Icenowy Zhenganlogic: support BRAM mapping
2021-12-17 github-actions... Bump version
2021-12-16 CatherineMerge pull request #3115 from whitequark/issue-3112
2021-12-16 CatherineMerge pull request #3114 from whitequark/issue-3113
2021-12-16 Thomas Sailerpreprocessor: do not destroy double slash escaped ident...
2021-12-15 Catherinecxxrtl: demote wires not inlinable only in debug_eval...
2021-12-15 Catherinebugpoint: avoid infinite loop between -connections...
2021-12-15 github-actions... Bump version
2021-12-14 CatherineMerge pull request #3111 from whitequark/issue-3110
2021-12-14 Claire Xenia... Hotfix for run_shell auto-detection
2021-12-14 CatherineFix null pointer dereference after failing to extract...
2021-12-14 github-actions... Bump version
2021-12-13 Claire XenMerge pull request #3108 from YosysHQ/claire/verificdefs
2021-12-13 Claire Xenia... Add YOSYS to the implicitly defined verilog macros...
2021-12-13 github-actions... Bump version
2021-12-12 Marcelina KościelnickaAdd clean_zerowidth pass, use it for Verilog output.
2021-12-12 CatherineMerge pull request #3105 from whitequark/cxxrtl-reset...
2021-12-12 github-actions... Bump version
2021-12-12 Marcelina KościelnickaFix unused param warning with ENABLE_NDEBUG.
2021-12-12 Marcelina Kościelnickartlil: Dump empty connections when whole module is...
2021-12-11 Catherinecxxrtl: preserve interior memory pointers across reset.
2021-12-11 CatherineMerge pull request #3103 from whitequark/write_verilog...
2021-12-11 whitequarkcxxrtl: use unique_ptr<value<>[]> to store memory contents.
2021-12-11 whitequarkwrite_verilog: dump zero width sigspecs correctly.
2021-12-11 github-actions... Bump version
2021-12-10 Miodrag MilanovićMerge pull request #3102 from YosysHQ/claire/enumxz
2021-12-10 Claire Xenia... Fix verific import of enum values with x and/or z
2021-12-10 Miodrag MilanovićMerge pull request #3097 from YosysHQ/modport
2021-12-10 Claire XenUpdate verific.cc
2021-12-10 Claire XenMerge pull request #3099 from YosysHQ/claire/readargs
2021-12-09 Claire Xenia... Fix the tests we just broke
2021-12-09 Claire Xenia... Added "yosys -r <topmodule>"
2021-12-09 Claire Xenia... Use "read" command to parse HDL files from Yosys comman...
2021-12-09 github-actions... Bump version
2021-12-08 Marcelina Kościelnickaopt_mem_priority: Fix non-ascii char in help message.
2021-12-08 Miodrag MilanovicIf direction NONE use that from first bit
2021-12-04 github-actions... Bump version
2021-12-03 Miodrag MilanovicNext dev cycle
2021-12-03 Miodrag MilanovicRelease version 0.12 yosys-0.12
next