yosys.git
2019-03-22 Clifford WolfMerge pull request #889 from YosysHQ/clifford/fix888
2019-03-22 Clifford WolfMerge pull request #890 from YosysHQ/clifford/fix887
2019-03-22 David ShahMerge pull request #891 from YosysHQ/xilinx_keep
2019-03-22 David Shahxilinx: Add keep attribute where appropriate
2019-03-22 Clifford WolfTrim init attributes when resizing FFs in "wreduce...
2019-03-21 Clifford WolfFix mem2reg handling of memories with upto data ports...
2019-03-21 Clifford WolfImprove "read_verilog -dump_vlog[12]" handling of upto...
2019-03-21 Clifford WolfImprove read_verilog debug output capabilities
2019-03-19 Clifford WolfMerge pull request #885 from YosysHQ/clifford/fix873
2019-03-19 Clifford WolfAdd Xilinx negedge FFs to synth_xilinx dffinit call...
2019-03-19 Eddie HungMerge pull request #808 from eddiehung/read_aiger
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-19 Eddie HungAdd author name
2019-03-19 Clifford WolfMerge pull request #884 from zachjs/master
2019-03-19 Zachary Snowfix local name resolution in prefix constructs
2019-03-17 Clifford WolfUpdate issue template
2019-03-17 Clifford WolfUpdate issue template
2019-03-16 Clifford WolfMerge pull request #877 from FelixVi/master
2019-03-16 Felix VietmeyerAdd note about test requirements in README
2019-03-15 Clifford WolfImprove mix of src/wire/wirebit coverage in "mutate...
2019-03-15 Clifford WolfMerge pull request #876 from YosysHQ/clifford/fmcombine
2019-03-15 Clifford WolfAdd "fmcombine -fwd -bwd -nop"
2019-03-15 Clifford WolfAdd fmcombine pass
2019-03-14 Clifford WolfMerge pull request #875 from YosysHQ/clifford/mutate
2019-03-14 Clifford WolfDisable realmath tests
2019-03-14 Clifford WolfImprovements in "mutate" list-reduce algorithm
2019-03-14 Clifford WolfAdd "mutate -cfg", improve pick_cover behavior
2019-03-14 Clifford WolfAdd a strictly coverage-driven mutation selection strategy
2019-03-14 Clifford WolfImprove "mutate" wire coverage metric
2019-03-14 Clifford WolfAdd more mutation types, improve mutation src cover
2019-03-14 Clifford WolfFix smtbmc.py handling of zero appended steps
2019-03-14 Clifford WolfAdd "mutate" command DB reduce functionality
2019-03-14 Clifford WolfAdd hashlib "<container>::element(int n)" methods
2019-03-14 Clifford WolfAdd "mutate -mode inv", various other mutate improvements
2019-03-14 Clifford WolfAdd basic "mutate -list N" framework
2019-03-14 Clifford WolfMerge pull request #874 from YosysHQ/clifford/andopt
2019-03-14 Clifford WolfImprove handling of and-with-1 and or-with-0 in opt_exp...
2019-03-14 Clifford WolfMerge pull request #872 from YosysHQ/clifford/pmuxfix
2019-03-14 Clifford WolfImprove handling of "full_case" attributes
2019-03-14 Clifford WolfFix a syntax bug in ilang backend related to process...
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 William D.... Install launcher executable when running yosys-smtbmc...
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfFix a bug in handling quotes in multi-cmd lines in...
2019-03-12 Clifford WolfMerge pull request #866 from YosysHQ/clifford/idstuff
2019-03-12 Clifford WolfRemove ice40/cells_sim.v hack to avoid warning for...
2019-03-12 Clifford WolfImprove handling of memories used in mem index expressi...
2019-03-12 Clifford WolfRemove outdated "blocking assignment to memory" warning
2019-03-12 Clifford WolfOnly set MEM2REG_FL_CONST_LHS/MEM2REG_FL_VAR_LHS for...
2019-03-11 Clifford WolfImprove determinism of IdString DB for similar scripts
2019-03-11 Eddie HungMerge pull request #864 from YosysHQ/svalabelfix
2019-03-11 Clifford WolfAdd ENABLE_GLOB Makefile switch
2019-03-10 Clifford WolfFix handling of cases that look like sva labels, fixes...
2019-03-09 Clifford WolfFix typo in ice40_braminit help msg
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-09 Clifford WolfFix signed $shift/$shiftx handling in write_smt2
2019-03-09 Clifford WolfAdd $dffsr support to async2sync
2019-03-09 Clifford WolfMerge pull request #858 from YosysHQ/clifford/svalabels
2019-03-09 Clifford WolfMerge pull request #861 from YosysHQ/verific_chparam
2019-03-09 Clifford WolfAlso add support for labels on sva module items, fixes...
2019-03-09 Eddie HungUpdate help message for -chparam
2019-03-09 Eddie HungAdd -chparam option to verific command
2019-03-09 Eddie HungFix spelling
2019-03-08 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-03-08 Clifford WolfFix handling of task output ports in clocked always...
2019-03-07 Sylvain Munautice40: Run ice40_braminit pass by default
2019-03-07 Sylvain Munautice40: Add ice40_braminit pass to allow initialization...
2019-03-07 Clifford WolfMerge pull request #856 from kprasadvnsi/master
2019-03-07 Clifford WolfUse SVA label in smt export if available
2019-03-07 Clifford WolfAdd support for SVA labels in read_verilog
2019-03-07 Clifford WolfAdd hack for handling SVA labels via Verific
2019-03-07 Clifford WolfAdd link to SF2 / igloo2 macro library guide
2019-03-07 Clifford WolfImprovements in sf2 cells_sim.v
2019-03-06 Clifford WolfAdd sf2 techmap rules for more FF types
2019-03-06 Clifford WolfRefactor SF2 iobuf insertion, Add clkint insertion
2019-03-06 Clifford WolfImprove igloo2 example
2019-03-06 Clifford WolfImprove igloo2 example
2019-03-06 Clifford WolfImprovements in SF2 flow and demo
2019-03-06 Kali Prasadexamples/anlogic/ now also output the SVF file.
2019-03-06 Eddie HungFix spelling in pmgen/README.md
2019-03-06 Clifford WolfImprove igloo2 exmaple
2019-03-05 Clifford WolfMerge pull request #842 from litghost/merge_upstream
2019-03-05 Clifford WolfMerge pull request #850 from daveshah1/ecp5_warn_conflict
2019-03-05 Clifford WolfAdd missing newline
2019-03-05 Clifford WolfMerge pull request #851 from kprasadvnsi/master
2019-03-05 Clifford WolfMerge pull request #852 from ucb-bar/firrtlfixes
2019-03-05 Clifford WolfUse "write_edif -pvector bra" for Xilinx EDIF files
2019-03-04 Jim LawsonEnsure fid() calls make_id() for consistency; tests...
2019-03-04 Kali PrasadAdded examples/anlogic/
2019-03-04 Keith RothmanRevert BRAM WRITE_MODE changes.
2019-03-04 David Shahecp5: Demote conflicting FF init values to a warning
2019-03-04 Clifford WolfImprove igloo2 example
2019-03-04 Clifford WolfUpdate igloo2 example to Libero v12.0
2019-03-03 Clifford WolfMerge pull request #848 from YosysHQ/clifford/fix763
2019-03-03 Clifford WolfMerge pull request #849 from YosysHQ/clifford/dynports
2019-03-02 Clifford WolfOnly run derive on blackbox modules when ports have...
2019-03-02 Clifford WolfFix error for wire decl in always block, fixes #763
2019-03-02 Clifford WolfFix $global_clock handling vs autowire
2019-03-02 Clifford WolfMerge pull request #847 from YosysHQ/clifford/fix785
2019-03-02 Clifford WolfFix $readmem[hb] for mem2reg memories, fixes #785
next