yosys.git
2020-07-16 clairexenMerge pull request #2272 from whitequark/write-verilog-sv
2020-07-16 Miodrag MilanovićMerge pull request #2238 from YosysHQ/mwk/dfflegalize...
2020-07-16 Miodrag MilanovićMerge pull request #2226 from YosysHQ/mwk/nuke-efinix...
2020-07-16 whitequarkverilog_backend: in non-SV mode, add a trigger for...
2020-07-16 whitequarkverilog_backend: add `-sv` option, make `-o <filename...
2020-07-16 whitequarkMerge pull request #2270 from whitequark/cxxrtl-fix...
2020-07-15 whitequarkMerge pull request #2269 from YosysHQ/claire/bisonwall
2020-07-15 Claire WolfTreat all bison warnings as errors in verilog front-end
2020-07-15 Claire WolfUse %precedence in verilog_parser.y
2020-07-15 Claire WolfFix bison warnings for missing %empty
2020-07-15 Claire WolfRun bison with -Wall for verilog front-end
2020-07-15 clairexenMerge pull request #2257 from antmicro/fix-conflicts
2020-07-15 Kamil RakoczyAdd missing semicolons
2020-07-15 Marcelina Kościelnickaopt_merge: Dedup one more use of FF cell type list.
2020-07-14 Marcelina Kościelnickaachronix: Use dfflegalize.
2020-07-14 whitequarkcxxrtl: fix typo. NFC.
2020-07-14 Marcelina Kościelnickaanlogic: Use dfflegalize.
2020-07-13 Marcelina Kościelnickaintel: Use dfflegalize.
2020-07-13 LoftyRevert "intel_alm: direct M10K instantiation"
2020-07-13 whitequarkMerge pull request #2263 from whitequark/cxxrtl-capi...
2020-07-12 whitequarkcxxrtl: expose eval() and commit() via the C API.
2020-07-12 Marcelina Kościelnickaxilinx: Fix srl regression.
2020-07-12 Marcelina Kościelnickaproc_dlatch: Remove init values for combinatorial proce...
2020-07-12 Marcelina Kościelnickadfflegalize: Gather init values from all wires.
2020-07-10 clairexenMerge pull request #2256 from YosysHQ/claire/fix2241
2020-07-10 Claire WolfAdd AST_EDGE support to AstNode::detect_latch(), fixes...
2020-07-10 Kamil RakoczyFix S/R conflicts
2020-07-10 Kamil RakoczyFix R/R conflicts
2020-07-10 Kamil RakoczyRevert "Revert PRs #2203 and #2244."
2020-07-09 Dan Ravensloftsf2: replace sf2_iobs with {clkbuf,iopad}map
2020-07-09 whitequarkMerge pull request #2255 from whitequark/bison-Werror...
2020-07-09 whitequarkMerge pull request #2254 from whitequark/cxxrtl-extern-c
2020-07-09 Marcelina Kościelnickasf2: Use dfflegalize.
2020-07-09 whitequarkverilog_parser: turn S/R and R/R conflicts into hard...
2020-07-09 whitequarkRevert PRs #2203 and #2244.
2020-07-09 whitequarkcxxrtl: add missing extern "C".
2020-07-09 Marcelina Kościelnickaxilinx: Use dfflegalize.
2020-07-09 Marcelina Kościelnickadfflibmap: Refactor to use dfflegalize internally.
2020-07-09 Lucas CastroFix issue #2251 (#2252)
2020-07-09 Marcelina Kościelnickaclkbufmap: improve input pad handling.
2020-07-09 clairexenMerge pull request #2244 from antmicro/logic
2020-07-09 Marcelina Kościelnickaclk2fflogic: Consistently treat async control signals...
2020-07-09 Marcelina Kościelnickadfflegalize: Add special support for const-D latches.
2020-07-07 whitequarkMerge pull request #2246 from YosysHQ/mwk/dfflegalize...
2020-07-07 Marcelina Kościelnickadfflegalize: typo fix
2020-07-06 Marcelina Kościelnickaefinix: Use dfflegalize.
2020-07-06 Marcelina Kościelnickagowin: Use dfflegalize.
2020-07-06 Kamil RakoczyAdd logic param and integer bad syntax tests
2020-07-06 Lukasz DalekSupport logic typed parameters
2020-07-05 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-05 Marcelina KościelnickaNaming fixes.
2020-07-05 Dan Ravensloftsynth_gowin: ABC9 support
2020-07-05 Dan Ravensloftintel_alm: add Cyclone 10 GX tests
2020-07-05 Marcelina KościelnickaMerge pull request #2236 from YosysHQ/mwk/dfflegalize...
2020-07-05 Marcelina Kościelnickaecp5: Use dfflegalize.
2020-07-05 whitequarkMerge pull request #2227 from Ravenslofty/ccache
2020-07-05 Marcelina KościelnickaMerge pull request #2232 from YosysHQ/mwk/gowin-sim...
2020-07-05 Marcelina Kościelnickadfflegalize: Prefer mapping dff to sdff before adff
2020-07-05 Marcelina Kościelnickaopt_expr: Fix crash on $mul optimization with more...
2020-07-05 Dan Ravensloftintel_alm: DSP inference
2020-07-05 Marcelina Kościelnickaice40: Use dfflegalize.
2020-07-05 Marcelina Kościelnickagowin: Fix INIT values in sim library.
2020-07-04 Dan Ravensloftgowin: replace determine_init with setundef
2020-07-04 Marcelina Kościelnickasynth_intel_alm: Use dfflegalize.
2020-07-04 Dan RavensloftAdd option to use ccache when building
2020-07-04 Marcelina Kościelnickaefinix: Nuke efinix_gbuf in favor of clkbufmap.
2020-07-04 Dan RavensloftImprove MISTRAL_FF specify rules
2020-07-04 Eddie Hungtests: update fsm.ys resource count
2020-07-04 Eddie Hungabc9: only techmap (* abc9_flop *) modules
2020-07-04 Eddie Hungintel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FF
2020-07-04 Eddie Hungabc9: techmap from user design to allow abc9_flop modul...
2020-07-04 Eddie Hungintel_alm: add $__ prefix to MISTRAL_FF_SYNCONLY
2020-07-04 Dan Ravensloftintel_alm: ABC9 sequential optimisations
2020-07-03 Rupert SwarbrickAdd newlines to help text for dfflegalize
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-07-02 clairexenMerge pull request #2208 from boqwxp/qbfsat-cleanup
2020-07-02 clairexenMerge pull request #2186 from YosysHQ/mwk/dfflegalize
2020-07-02 clairexenMerge pull request #2211 from YosysHQ/mwk/fix-fmcombine-ff
2020-07-02 clairexenMerge pull request #2210 from YosysHQ/mwk/fix-opt_merge
2020-07-02 clairexenMerge pull request #2195 from YosysHQ/mwk/manual-gates
2020-07-01 Alberto Gonzalezqbfsat: Remove useless comment and #ifndef guards.
2020-07-01 Alberto Gonzalezqbfsat: Specify default values for some options in...
2020-07-01 Alberto Gonzalezqbfsat: Clean up external executable command lines...
2020-07-01 Alberto Gonzalezqbfsat: Clean up and refactor data structures into...
2020-07-01 clairexenMerge pull request #2203 from antmicro/fix-grammar
2020-07-01 clairexenMerge pull request #2179 from splhack/static-cast
2020-07-01 clairexenMerge pull request #2138 from boqwxp/qbfsat-oflag
2020-07-01 clairexenMerge pull request #2206 from boqwxp/qbfsat-fix-name...
2020-06-30 Marcelina Kościelnickadfflegalize: Add tests.
2020-06-30 Marcelina KościelnickaAdd dfflegalize pass.
2020-06-30 Marcelina Kościelnickafmcombine: use the master ff cell type list
2020-06-30 Marcelina Kościelnickaopt_merge: use the master FF type list
2020-06-30 clairexenMerge pull request #2136 from zachjs/master
2020-06-30 clairexenMerge pull request #2199 from YosysHQ/mmicko/sim_memory
2020-06-30 clairexenMerge pull request #2201 from YosysHQ/fix_test_cell_ilang
2020-06-30 clairexenMerge pull request #2209 from YosysHQ/verific_update
2020-06-30 Marcelina Kościelnickasimcells: Fix reset polarity for $_DLATCH_???_ cells.
2020-06-30 Miodrag MilanovicUpdate verific API version check
2020-06-30 Alberto Gonzalezqbfsat: Add `-O[012]` options to control pre-solving...
2020-06-30 Alberto Gonzalezqbfsat: Fix name-based hole specialization.
next