yosys.git
2017-10-07 Clifford WolfAdd $shiftx support to verilog front-end
2017-10-06 Clifford WolfUpdate ABC to hg rev 0fc1803a77c0
2017-10-05 Larry DoolittleClean whitespace and permissions in techlibs/intel
2017-10-05 Clifford WolfImprove handling of Verific errors
2017-10-04 Clifford WolfImprove Verific error handling, check VHDL static asserts
2017-10-04 Clifford WolfAdd blackbox command
2017-10-04 Clifford WolfFix nasty bug in Verific bindings
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-10-03 Clifford WolfMerge branch 'fix_shift_reduce_conflict' of https:...
2017-10-03 Clifford WolfMerge branch 'dh73-master'
2017-10-03 Clifford WolfRename "write_verilog -nobasenradix" to "write_verilog...
2017-10-02 dh73Tested and working altsyncarm without init files
2017-10-01 dh73Fixed wrong declaration in Verilog backend
2017-10-01 dh73Adding Cyclone IV (E, GX), Arria 10, Cyclone V and...
2017-09-30 Udi FinkelsteinTurned a few member functions into const, esp. dumpAst...
2017-09-30 Udi FinkelsteinResolved classical Bison IF/THEN/ELSE shift/reduce...
2017-09-29 Clifford WolfAdd first draft of eASIC back-end
2017-09-29 Clifford WolfFix synth_ice40 doc regarding -top default
2017-09-29 Clifford WolfAllow $size and $bits in verilog mode, actually check...
2017-09-29 Clifford WolfMerge pull request #425 from udif/udif_dollar_bits
2017-09-28 Clifford WolfMerge pull request #421 from stephengroat/osx-travis
2017-09-27 Stephendelete bad backslash
2017-09-27 Stephenforgot to install bundles
2017-09-27 Stephen GroatAdd osx tests using brew bundle
2017-09-27 Clifford WolfIncrease maximum LUT size in blifparse to 12 bits
2017-09-26 Udi Finkelstein$size() now works correctly for all cases!
2017-09-26 Udi Finkelstein$size() seems to work now with or without the optional...
2017-09-26 Clifford WolfParse reals as string in JSON front-end
2017-09-26 Clifford WolfMerge branch 'vlogpp-inc-fixes'
2017-09-26 Clifford WolfMinor coding style fix
2017-09-26 Clifford WolfMerge branch 'master' of https://github.com/combinatory...
2017-09-26 Udi Finkelsteinenable $bits() and $size() functions only when the...
2017-09-26 Udi FinkelsteinAdded $bits() for memories as well.
2017-09-26 Udi Finkelstein$size() now works with memories as well!
2017-09-26 Udi FinkelsteinAdd $size() function. At the moment it works only on...
2017-09-25 Clifford WolfFix ignoring of simulation timings so that invalid...
2017-09-21 combinatorylogicAdding support for string macros and macros with argume...
2017-09-16 Clifford WolfMerge pull request #413 from azonenberg/extract-reduce...
2017-09-16 Andrew ZonenbergAdded missing "break"
2017-09-15 Andrew ZonenbergImplemented off-chain support for extract_reduce
2017-09-15 Andrew Zonenbergextract_reduce now only removes the head of the chain...
2017-09-15 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-09-15 Clifford WolfUpdate ABC to hg rev cd6984ee82d4
2017-09-14 Clifford WolfMerge pull request #412 from azonenberg/reduce-fixes
2017-09-14 Robert Ouextract_reduce: Fix segfault on "undriven" inputs
2017-09-14 Clifford WolfMerge pull request #411 from azonenberg/counter-extract...
2017-09-14 Clifford WolfMerge pull request #410 from azonenberg/opt_demorgan
2017-09-14 Andrew ZonenbergMinor changes to opt_demorgan requested during code...
2017-09-14 Andrew ZonenbergFixed bug where counter extraction on non-GreenPAK...
2017-09-14 Andrew ZonenbergAdded support for inferring counters with reset to...
2017-09-14 Andrew ZonenbergAdded RESET_TO_MAX parameter to $__COUNT_ cell. Cannot...
2017-09-14 Andrew ZonenbergAdded support for inferring counters with active-low...
2017-09-14 Andrew ZonenbergInitial support for extraction of counters with clock...
2017-09-14 Andrew ZonenbergFixed typo in comment. Fixed bug where extract_counter...
2017-09-13 Andrew ZonenbergInitial version of opt_demorgan is functioning for...
2017-09-09 Clifford WolfAdd src attribute to extra cells generated by proc_dlatch
2017-09-09 Clifford WolfAdd src arguments to all cell creator helper functions
2017-09-02 Clifford WolfFurther improve extract_fa (but still buggy)
2017-09-02 Clifford WolfMerge pull request #406 from azonenberg/coolrunner...
2017-09-02 Clifford WolfMerge pull request #405 from azonenberg/gpak-refactoring
2017-09-01 Robert Oucoolrunner2: Finish fixing special-use p-terms
2017-09-01 Robert Oucoolrunner2: Generate a feed-through AND term when...
2017-09-01 Robert Oucoolrunner2: Initial fixes for special p-terms
2017-09-01 Robert Oucoolrunner2: Fix mapping of flip-flops
2017-09-01 Robert Oucoolrunner2: Combine some for loops together
2017-09-01 Andrew ZonenbergFixed typo in error message
2017-09-01 Andrew ZonenbergAdded blackbox $__COUNT_ cell model
2017-09-01 Andrew ZonenbergRefactoring: moved modules still in cells_sim to cells_...
2017-09-01 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-09-01 Clifford WolfMerge branch 'ChipScan-master'
2017-09-01 Clifford WolfUpdate more stuff to use get_src_attribute() and set_sr...
2017-08-31 Jason Lowdermilkupdated to use get_src_attribute() and set_src_attribute().
2017-08-31 Clifford WolfMerge pull request #399 from azonenberg/counter-extraction
2017-08-31 Andrew ZonenbergMerge branch 'counter-extraction' of github.com:azonenb...
2017-08-31 Andrew Zonenbergextract_counter: Added optimizations to remove unused...
2017-08-30 Andrew ZonenbergMerge branch 'master' of https://github.com/cliffordwol...
2017-08-30 Andrew Zonenbergextract_counter: Minor changes requested to comply...
2017-08-30 Jason LowdermilkMerge remote-tracking branch 'upstream/master'
2017-08-30 Jason Lowdermilkfix indent level
2017-08-30 Clifford WolfMerge pull request #397 from azonenberg/gpak-libfixes
2017-08-30 Clifford WolfAdd {get,set}_src_attribute() methods on RTLIL::AttrObject
2017-08-29 Jason LowdermilkAdd support for source line tracking through synthesis...
2017-08-29 Andrew ZonenbergFinished refactoring counter extraction to be nice...
2017-08-29 Andrew ZonenbergRefactored extract_counter to be generic vs GreenPAK...
2017-08-29 Andrew ZonenbergRefactoring: Renamed greenpak4_counters pass to extract...
2017-08-28 Andrew ZonenbergReformatted GP_COUNTx_ADV resets to avoid Yosys thinkin...
2017-08-28 Clifford WolfMerge branch 'azonenberg-recover-reduce'
2017-08-28 Clifford WolfRename recover_reduce to extract_reduce, fix args handling
2017-08-28 Clifford WolfMerge branch 'recover-reduce' of https://github.com...
2017-08-28 Clifford WolfFurther improve extract_fa pass
2017-08-28 Clifford WolfMerge pull request #392 from azonenberg/greenpak-portfixes
2017-08-27 Andrew ZonenbergFixed bug causing GP_SPI model to not synthesize
2017-08-27 Robert Ourecover_reduce: Update documentation
2017-08-27 Robert Ourecover_reduce: Reindent using tabs
2017-08-27 Robert Ourecover_reduce: Rename recover_reduce_core to recover_r...
2017-08-27 Robert Ourecover_reduce: Add driver script for the $reduce_...
2017-08-27 Robert Ourecover_reduce_core: Finish implementing the core function
2017-08-27 Robert Ourecover_reduce_core: Initial commit
2017-08-25 Clifford WolfDon't track , ... contradictions through x/z-bits
2017-08-25 Clifford WolfAdd removing of redundant pairs of bits in ==, ===...
next