projects
/
yosys.git
/ shortlog
commit
grep
author
committer
pickaxe
?
search:
re
summary
| shortlog |
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
yosys.git
2018-04-30
Clifford Wolf
Add "synth_intel --noiopads"
commit
|
commitdiff
|
tree
2018-04-22
Clifford Wolf
Add $dlatch support to write_verilog
commit
|
commitdiff
|
tree
2018-04-16
Clifford Wolf
Add "synth_ice40 -nodffe"
commit
|
commitdiff
|
tree
2018-04-15
Clifford Wolf
Add "write_blif -inames -iattr"
commit
|
commitdiff
|
tree
2018-04-13
Clifford Wolf
Add statement labels for immediate assertions
commit
|
commitdiff
|
tree
2018-04-12
Clifford Wolf
Allow "property" in immediate assertions
commit
|
commitdiff
|
tree
2018-04-12
Clifford Wolf
Improve Makefile error handling for when abc/ is a...
commit
|
commitdiff
|
tree
2018-04-07
Clifford Wolf
Add PRIM_HDL_ASSERTION support to Verific importer
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Fix handling of $global_clocking in Verific
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add documentation for anyconst/anyseq/allconst/allseq...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add read_verilog anyseq/anyconst/allseq/allconst attrib...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add Verific anyseq/anyconst/allseq/allconst attribute...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add "verific -autocover"
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Merge pull request #530 from makaimann/set-ram-flags
commit
|
commitdiff
|
tree
2018-04-06
makaimann
Set RAM runtime flags for Verific frontend
commit
|
commitdiff
|
tree
2018-04-05
Clifford Wolf
Added missing dont_use handling for SR FFs to dfflibmap
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Create issue_template.md
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Add smtio.py support for parsing SMT2 (_ bvX n) syntax...
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Fixed -stbv handling in SMT2 back-end
commit
|
commitdiff
|
tree
2018-04-01
Clifford Wolf
Merge pull request #522 from c60k28/master
commit
|
commitdiff
|
tree
2018-04-01
c60k28
Fixed broken Quartus backend on dffeas init value ...
commit
|
commitdiff
|
tree
2018-03-31
Clifford Wolf
Remove left-over log_ping debug commands.. oops.
commit
|
commitdiff
|
tree
2018-03-31
Clifford Wolf
Merge pull request #521 from azonenberg/for_clifford
commit
|
commitdiff
|
tree
2018-03-31
Robert Ou
coolrunner2: Add an ANDTERM/XOR between chained FFs
commit
|
commitdiff
|
tree
2018-03-31
Robert Ou
coolrunner2: Split multi-bit nets
commit
|
commitdiff
|
tree
2018-03-31
Robert Ou
coolrunner2: Add extraction for TFFs
commit
|
commitdiff
|
tree
2018-03-29
Clifford Wolf
Add smtio status msgs when --progress is inactive
commit
|
commitdiff
|
tree
2018-03-29
Clifford Wolf
Bugfix in smtio.py VCD file generator
commit
|
commitdiff
|
tree
2018-03-29
Clifford Wolf
Removed $timescale from "sat" command VCD writer
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Set stack size to at least 128 MB (large stack needed...
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Fix tests/simple/specify.v
commit
|
commitdiff
|
tree
2018-03-27
Udi Finkelstein
First draft of Verilog parser support for specify block...
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Merge pull request #515 from edcote/patch-1
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Chenged "extensions_map" to "extensions_list" in hierar...
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Merge pull request #518 from xerpi/master
commit
|
commitdiff
|
tree
2018-03-27
Sergi Granell
passes/hierarchy: Reduce code duplication in expand_module
commit
|
commitdiff
|
tree
2018-03-27
Clifford Wolf
Add $mem support to SMT2 clock tagging
commit
|
commitdiff
|
tree
2018-03-26
Clifford Wolf
Fix build for new ABC location on github, also update...
commit
|
commitdiff
|
tree
2018-03-26
Clifford Wolf
Add .sv support to "hierarchy -libdir"
commit
|
commitdiff
|
tree
2018-03-26
Clifford Wolf
Fix handling of unclocked immediate assertions in Verif...
commit
|
commitdiff
|
tree
2018-03-20
Edmond Cote
Rename rename to renames
commit
|
commitdiff
|
tree
2018-03-17
Clifford Wolf
Improve yosys-smtbmc log output and error handling
commit
|
commitdiff
|
tree
2018-03-17
Clifford Wolf
Improve handling of invalid check-sat result in smtio.py
commit
|
commitdiff
|
tree
2018-03-16
Clifford Wolf
Update todo for more features to verificsva.cc
commit
|
commitdiff
|
tree
2018-03-16
Clifford Wolf
Update todo for more features to verificsva.cc
commit
|
commitdiff
|
tree
2018-03-16
Clifford Wolf
Add todo for more features to verificsva.cc
commit
|
commitdiff
|
tree
2018-03-15
Clifford Wolf
Improve import of memories via Verific
commit
|
commitdiff
|
tree
2018-03-14
Clifford Wolf
Fix handling of SV compilation units in Verific front-end
commit
|
commitdiff
|
tree
2018-03-12
Clifford Wolf
Add "expose -input"
commit
|
commitdiff
|
tree
2018-03-12
Clifford Wolf
Add "setundef -undef"
commit
|
commitdiff
|
tree
2018-03-11
Larry Doolittle
Squelch trailing whitespace, including meta-whitespace
commit
|
commitdiff
|
tree
2018-03-11
Larry Doolittle
Harmonize uses of _WIN32 macro
commit
|
commitdiff
|
tree
2018-03-10
Clifford Wolf
Fix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
commit
|
commitdiff
|
tree
2018-03-10
Clifford Wolf
Fix variable name typo in verificsva.cc
commit
|
commitdiff
|
tree
2018-03-10
Clifford Wolf
Add support for trivial SVA sequences and properties
commit
|
commitdiff
|
tree
2018-03-10
Clifford Wolf
Fix handling of src attributes in flatten
commit
|
commitdiff
|
tree
2018-03-08
Clifford Wolf
Remove debug prints from yosys-smtbmc VCD writer
commit
|
commitdiff
|
tree
2018-03-08
Clifford Wolf
Use Verific hier_tree component for elaboration
commit
|
commitdiff
|
tree
2018-03-07
Clifford Wolf
Check results of (check-sat) in yosys-smtbmc
commit
|
commitdiff
|
tree
2018-03-07
Clifford Wolf
Fix Verific handling of "assert property (..);" in...
commit
|
commitdiff
|
tree
2018-03-07
Clifford Wolf
Add "verific -import -V"
commit
|
commitdiff
|
tree
2018-03-07
Clifford Wolf
Set Verific db_preserve_user_nets flag
commit
|
commitdiff
|
tree
2018-03-07
Clifford Wolf
Add Xilinx RAM64X1D and RAM128X1D simulation models
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add "memory_nordff" pass
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Update comment about supported SVA in verificsva.cc
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add SVA first_match() support
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add SVA within support
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add support for SVA sequence intersect
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Add get_fsm_accept_reject for parsing SVA properties
commit
|
commitdiff
|
tree
2018-03-06
Clifford Wolf
Simplified SVA "until" handling
commit
|
commitdiff
|
tree
2018-03-05
Clifford Wolf
Imporove yosys-smtbmc error handling, Improve VCD output
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Fix connwrappers help message
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Improve handling of warning messages
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Update copyright header
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Improve SMT2 encoding of $reduce_{and,or,bool}
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Fix a hangup in yosys-smtbmc error handling
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Add proper SVA seq.triggered support
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Add "synth -noshare"
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Add Verific SVA support for "seq and seq" expressions
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Refactor Verific SVA importer property parser
commit
|
commitdiff
|
tree
2018-03-04
Clifford Wolf
Add VerificClocking class and refactor Verific DFF...
commit
|
commitdiff
|
tree
2018-03-03
Clifford Wolf
Improved error handling in yosys-smtbmc
commit
|
commitdiff
|
tree
2018-03-03
Clifford Wolf
Add SVA support for sequence OR
commit
|
commitdiff
|
tree
2018-03-03
Clifford Wolf
Terminate running SMT solver when smtbmc is terminated
commit
|
commitdiff
|
tree
2018-03-03
Clifford Wolf
Fix smtbmc smtc/aiw parser for wire names containing []
commit
|
commitdiff
|
tree
2018-03-02
Clifford Wolf
Fix handling of SVA "until seq.triggered" properties
commit
|
commitdiff
|
tree
2018-03-02
Clifford Wolf
Update SVA cheat sheet in verificsva.cc
commit
|
commitdiff
|
tree
2018-03-01
Clifford Wolf
Fix in Verific SVA importer handling of until_with
commit
|
commitdiff
|
tree
2018-03-01
Clifford Wolf
Mangle names with square brackets in VCD files to work...
commit
|
commitdiff
|
tree
2018-03-01
Clifford Wolf
Fixes and improvements in Verific SVA importer
commit
|
commitdiff
|
tree
2018-03-01
Clifford Wolf
Add $rose/$fell support to Verific bindings
commit
|
commitdiff
|
tree
2018-02-28
Clifford Wolf
Merge branch 'verificsva-ng'
commit
|
commitdiff
|
tree
2018-02-28
Clifford Wolf
Add support for PRIM_SVA_UNTIL to new SVA importer
commit
|
commitdiff
|
tree
2018-02-28
Clifford Wolf
Add DFSM generator to verific SVA importer
commit
|
commitdiff
|
tree
2018-02-28
Clifford Wolf
Continue refactoring of Verific SVA importer code
commit
|
commitdiff
|
tree
2018-02-27
Clifford Wolf
Major redesign of Verific SVA importer
commit
|
commitdiff
|
tree
2018-02-27
Clifford Wolf
Add -lz for verific builds
commit
|
commitdiff
|
tree
2018-02-26
Clifford Wolf
Add handling of verific OPER_REDUCE_NOR
commit
|
commitdiff
|
tree
2018-02-26
Clifford Wolf
Add handling of verific OPER_SELECTOR and OPER_WIDE_SEL...
commit
|
commitdiff
|
tree
next