yosys.git
2020-01-03 Eddie HungWIP
2020-01-03 Eddie HungWIP
2020-01-03 Eddie HungRemove a few log_{push,pop}()
2020-01-03 Eddie Hungaigmap everything
2020-01-03 Eddie Hungscc command to ignore blackboxes
2020-01-03 Eddie HungMove scc operations out of inner loop
2020-01-02 Eddie HungCleanup
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-02 Eddie HungCombine tests to check multiple clock domains
2020-01-02 whitequarkMerge pull request #1604 from whitequark/unify-ram...
2020-01-02 Eddie Hungsynth_xilinx -dff to work with abc too
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-02 Eddie HungAdd 'abc9 -dff' to CHANGELOG
2020-01-02 Eddie HungUpdate doc
2020-01-02 Eddie HungUpdate comments
2020-01-02 Eddie Hungabc9 -keepff -> -dff; refactor dff operations
2020-01-02 Clifford WolfMerge pull request #1609 from YosysHQ/clifford/fix1596
2020-01-02 Clifford WolfAlways create $shl, $shr, $sshl, $sshr cells with unsig...
2020-01-02 Eddie HungMerge pull request #1601 from YosysHQ/eddie/synth_retime
2020-01-02 Eddie HungMerge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS
2020-01-02 Eddie Hungifdef __ICARUS__ -> ifndef YOSYS
2020-01-01 Eddie HungMerge pull request #1606 from YosysHQ/eddie/improve_tests
2020-01-01 Eddie HungRevert insertion of 'reg', leave note behind
2020-01-01 Miodrag MilanovićMerge pull request #1605 from YosysHQ/iopad_fix
2020-01-01 Eddie HungFix anlogic async flop mapping
2020-01-01 Eddie HungClamp -46ps for FDPE* too
2020-01-01 Eddie HungGet rid of (* abc9_keep *) in write_xaiger too
2020-01-01 Eddie HungCleanup abc9, update doc for -keepff option
2020-01-01 Eddie HungRestore abc9 -keepff
2020-01-01 Eddie Hungattributes.count() -> get_bool_attribute()
2020-01-01 Miodrag MilanovicAdded a test case
2020-01-01 Miodrag Milanovictake skip wire bits into account
2020-01-01 whitequarkHarmonize BRAM/LUTRAM descriptions across all of Yosys.
2020-01-01 Eddie HungRe-arrange FD order
2020-01-01 Eddie HungMissing character
2020-01-01 Eddie HungDo not do call equiv_opt when no sim model exists
2020-01-01 Eddie HungFix warnings
2020-01-01 Eddie HungCall equiv_opt with -multiclock and -assert
2020-01-01 Eddie HungCleanup xilinx boxes
2020-01-01 Eddie HungCleanup ice40 boxes
2020-01-01 Eddie HungCleanup ecp5 boxes
2020-01-01 Eddie Hungparse_xaiger to not take box_lookup
2020-01-01 Eddie Hungparse_xaiger to reorder ports too
2020-01-01 Eddie HungAdd some abc9 dff tests
2020-01-01 Eddie HungDo not re-order carry chain ports, just precompute...
2019-12-31 Eddie HungUpdate abc9_xc7.box comments
2019-12-31 Eddie HungFDCE ports to be alphabetical
2019-12-31 Eddie HungFix attributes on $__ABC9_ASYNC[01] whitebox
2019-12-31 Eddie HungFix incorrect $__ABC9_ASYNC[01] box
2019-12-31 Eddie Hungwrite_xaiger: be more precise with ff_bits, remove...
2019-12-31 Eddie HungRetry getting rid of write_xaiger's holes_mode
2019-12-31 Eddie HungRevert "Get rid of holes_mode"
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungRemove abc9 -clk option
2019-12-31 Eddie Hungabc9_ops -prep_dff cope with lack of holes module
2019-12-31 Eddie HungRename struct
2019-12-31 Eddie HungGet rid of holes_mode
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungAdd -D DFF_MODE to abc9_map test
2019-12-31 Eddie HungMove Pass::call() out of abc9_ops into abc9
2019-12-31 Eddie HungCleanup
2019-12-31 Eddie HungUse function arg
2019-12-31 Eddie Hungholes_module to be whitebox
2019-12-31 Eddie HungRid unnecessary if
2019-12-31 Eddie HungGet rid of holes_mode
2019-12-31 Eddie HungAdd abc9_ops -prep_holes
2019-12-31 Eddie HungAdd abc9_ops -prep_dff
2019-12-31 Eddie HungRestore count_outputs, move process check to abc
2019-12-31 Eddie HungFix struct name
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungRemove delay targets doc
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-12-30 Eddie Hungwrite_xaiger to use scratchpad for stats; cleanup abc9
2019-12-30 Eddie HungGrammar
2019-12-30 Eddie HungRemove submod changes
2019-12-30 Eddie HungUpdate timings for Xilinx S7 cells
2019-12-30 Eddie HungRemove unused
2019-12-30 Eddie HungDo not offset FD* box timings due to -46ps Tsu
2019-12-30 Eddie HungCall "proc" if processes inside whiteboxes
2019-12-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-30 Eddie HungAdd CHANGELOG entry, add abc9_{flop,keep} attr to README.md
2019-12-30 Eddie HungTidy up abc9_map.v
2019-12-30 Eddie HungAdd "synth_xilinx -dff" option, cleanup abc9
2019-12-30 Eddie HungUpdate doc that "-retime" calls abc with "-dff -D 1"
2019-12-30 Eddie HungDisable synth_gowin -abc9 as it offers no advantages yet
2019-12-30 Eddie HungRevert "Revert "synth_* with -retime option now calls...
2019-12-30 Eddie HungRevert "ABC to call retime all the time"
2019-12-30 Eddie HungGrammar
2019-12-30 Miodrag MilanovićMerge pull request #1589 from YosysHQ/iopad_default
2019-12-30 Eddie HungMerge pull request #1599 from YosysHQ/eddie/retry_1588
2019-12-30 Eddie HungMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
2019-12-28 Miodrag MilanovicFix new tests
2019-12-28 Miodrag MilanovicMerge remote-tracking branch 'origin/master' into iopad...
2019-12-28 Miodrag MilanovicMake test without iopads
2019-12-28 Miodrag MilanovicRevert "Fix xilinx tests, when iopads are default"
2019-12-28 Eddie Hungabc9_techmap -> _map; called from abc9 script pass...
2019-12-28 Eddie HungRename abc9.cc -> abc9_techmap.cc
2019-12-28 Eddie HungUpdate resource count
2019-12-28 Eddie HungNitpick cleanup for ecp5
next