projects
/
yosys.git
/ shortlog
commit
grep
author
committer
pickaxe
?
search:
re
summary
| shortlog |
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
yosys.git
2018-07-13
David Shah
ecp5: Adding basic synth_ecp5 based on synth_ice40
commit
|
commitdiff
|
tree
2018-07-13
David Shah
ecp5: Adding DFF maps
commit
|
commitdiff
|
tree
2018-07-13
David Shah
ecp5: Adding DRAM map
commit
|
commitdiff
|
tree
2018-07-13
David Shah
ecp5: Adding basic cells_sim and mapper for LUTs up...
commit
|
commitdiff
|
tree
2018-07-13
David Shah
ice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
commit
|
commitdiff
|
tree
2018-06-29
Clifford Wolf
Fix verific eventually handling
commit
|
commitdiff
|
tree
2018-06-29
Clifford Wolf
Add verific support for eventually properties
commit
|
commitdiff
|
tree
2018-06-29
Clifford Wolf
Add "verific -formal" and "read -formal"
commit
|
commitdiff
|
tree
2018-06-28
Clifford Wolf
Add "read -sv -D" support
commit
|
commitdiff
|
tree
2018-06-28
Clifford Wolf
Add "read -undef"
commit
|
commitdiff
|
tree
2018-06-28
Clifford Wolf
Fix handling of signed memories
commit
|
commitdiff
|
tree
2018-06-22
Clifford Wolf
Add YOSYS_NOVERIFIC env variable for temporarily disabl...
commit
|
commitdiff
|
tree
2018-06-21
Clifford Wolf
Add simplified "read" command, enable extnets in implic...
commit
|
commitdiff
|
tree
2018-06-20
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys
commit
|
commitdiff
|
tree
2018-06-20
Clifford Wolf
Add automatic verific import in hierarchy command
commit
|
commitdiff
|
tree
2018-06-20
Clifford Wolf
Merge pull request #572 from q3k/q3k/fix-protobuf-build
commit
|
commitdiff
|
tree
2018-06-20
Sergiusz Bazanski
Fix protobuf build
commit
|
commitdiff
|
tree
2018-06-19
Clifford Wolf
Merge pull request #571 from q3k/q3k/protobuf-backend
commit
|
commitdiff
|
tree
2018-06-19
Serge Bazanski
Add Protobuf backend
commit
|
commitdiff
|
tree
2018-06-19
Clifford Wolf
Be slightly less aggressive in "deminout" pass
commit
|
commitdiff
|
tree
2018-06-19
Clifford Wolf
Merge pull request #570 from edcote/patch-4
commit
|
commitdiff
|
tree
2018-06-19
Edmond Cote
Include module name for area summary stats
commit
|
commitdiff
|
tree
2018-06-15
Clifford Wolf
Bugfix in liberty parser (as suggested by aiju in ...
commit
|
commitdiff
|
tree
2018-06-13
Clifford Wolf
Add "synth_ice40 -json"
commit
|
commitdiff
|
tree
2018-06-11
Clifford Wolf
Fix ice40_opt for cases where a port is connected to...
commit
|
commitdiff
|
tree
2018-06-06
Clifford Wolf
Merge pull request #561 from udif/pr_skip_typo
commit
|
commitdiff
|
tree
2018-06-05
Udi Finkelstein
Fixed typo (sikp -> skip)
commit
|
commitdiff
|
tree
2018-06-01
Clifford Wolf
Add (* gclk *) attribute support
commit
|
commitdiff
|
tree
2018-06-01
Clifford Wolf
Add setundef -anyseq / -anyconst support to -undriven...
commit
|
commitdiff
|
tree
2018-06-01
Clifford Wolf
Add "setundef -anyconst"
commit
|
commitdiff
|
tree
2018-05-31
Clifford Wolf
Bugfix in handling of array instances with empty ports
commit
|
commitdiff
|
tree
2018-05-30
Clifford Wolf
Update examples/cmos/counter.ys to use "synth" command
commit
|
commitdiff
|
tree
2018-05-30
Clifford Wolf
Make -nordff the default in "prep"
commit
|
commitdiff
|
tree
2018-05-30
Clifford Wolf
Update ABC to git rev 6df1396
commit
|
commitdiff
|
tree
2018-05-28
Clifford Wolf
Disable memory_dff for initialized FFs
commit
|
commitdiff
|
tree
2018-05-28
Clifford Wolf
Add some cleanup code to memory_nordff
commit
|
commitdiff
|
tree
2018-05-28
Clifford Wolf
Add comment to VIPER #13453 work-around
commit
|
commitdiff
|
tree
2018-05-25
Clifford Wolf
Fix Verific handling of single-bit anyseq/anyconst...
commit
|
commitdiff
|
tree
2018-05-24
Clifford Wolf
Fix VerificClocking for cases where Verific generates...
commit
|
commitdiff
|
tree
2018-05-24
Clifford Wolf
Fix verific handling of anyconst/anyseq attributes
commit
|
commitdiff
|
tree
2018-05-19
Clifford Wolf
Merge pull request #454 from rqou/emscripten-and-abc
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Force abc to align memory to 8 bytes
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Modify emscripten main to mount nodefs and to run arg...
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Force abc to be linked statically and without threads...
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Fix infinite loop in abc command under emscripten
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Fix reading techlibs under emscripten
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Add options to disable abc's usage of pthreads and...
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Add an option to statically link abc into yosys
commit
|
commitdiff
|
tree
2018-05-19
Robert Ou
Makefile: Make abc always use stdint.h
commit
|
commitdiff
|
tree
2018-05-17
Clifford Wolf
Merge pull request #550 from jimparis/yosys-upstream
commit
|
commitdiff
|
tree
2018-05-17
Clifford Wolf
Merge pull request #551 from olofk/ice40_cells_sim_ports
commit
|
commitdiff
|
tree
2018-05-17
Olof Kindgren
Avoid mixing module port declaration styles in ice40...
commit
|
commitdiff
|
tree
2018-05-17
Jim Paris
Support SystemVerilog `` extension for macros
commit
|
commitdiff
|
tree
2018-05-17
Jim Paris
Skip spaces around macro arguments
commit
|
commitdiff
|
tree
2018-05-15
Clifford Wolf
Fix handling of anyconst/anyseq attrs in VHDL code...
commit
|
commitdiff
|
tree
2018-05-15
Clifford Wolf
Remove mercurial from build instructions
commit
|
commitdiff
|
tree
2018-05-15
Clifford Wolf
Fix iopadmap for loops between tristate IO buffers
commit
|
commitdiff
|
tree
2018-05-15
Clifford Wolf
Fix iopadmap for cases where IO pins already have buffe...
commit
|
commitdiff
|
tree
2018-05-13
Clifford Wolf
Some cleanups in setundef.cc
commit
|
commitdiff
|
tree
2018-05-13
Clifford Wolf
Use $(OS) in makefile to check for Darwin
commit
|
commitdiff
|
tree
2018-05-13
Clifford Wolf
Merge pull request #505 from thefallenidealist/FreeBSD_...
commit
|
commitdiff
|
tree
2018-05-13
Christian Krämer
Add "#ifdef __FreeBSD__"
commit
|
commitdiff
|
tree
2018-05-13
Clifford Wolf
Revert "Add "#ifdef __FreeBSD__""
commit
|
commitdiff
|
tree
2018-05-12
Sergiusz Bazanski
Also interpret '&' in liberty functions
commit
|
commitdiff
|
tree
2018-05-12
Clifford Wolf
Add optimization of tristate buffer with constant contr...
commit
|
commitdiff
|
tree
2018-05-12
Clifford Wolf
Add "hierarchy -simcheck"
commit
|
commitdiff
|
tree
2018-05-06
Johnny Sorocil
update README
commit
|
commitdiff
|
tree
2018-05-06
Johnny Sorocil
autotest.sh: Change from /bin/bash to /usr/bin/env...
commit
|
commitdiff
|
tree
2018-05-06
Johnny Sorocil
Enable building on FreeBSD
commit
|
commitdiff
|
tree
2018-05-05
Clifford Wolf
Further improve handling of zero-length SVA consecutive...
commit
|
commitdiff
|
tree
2018-05-05
Clifford Wolf
Fix handling of zero-length SVA consecutive repetition
commit
|
commitdiff
|
tree
2018-05-05
Johnny Sorocil
Add "#ifdef __FreeBSD__"
commit
|
commitdiff
|
tree
2018-05-04
Clifford Wolf
Add ABC FAQ to "help abc"
commit
|
commitdiff
|
tree
2018-05-04
Clifford Wolf
Add "yosys -e regex" for turning warnings into errors
commit
|
commitdiff
|
tree
2018-05-04
Clifford Wolf
Merge pull request #537 from mithro/yosys-vpr
commit
|
commitdiff
|
tree
2018-05-03
Clifford Wolf
Replace -ignore_redef with -[no]overwrite
commit
|
commitdiff
|
tree
2018-05-03
Dan Gisselquist
Support more character literals
commit
|
commitdiff
|
tree
2018-04-30
Clifford Wolf
Update ABC to git rev f23ea8e
commit
|
commitdiff
|
tree
2018-04-30
Clifford Wolf
Add "synth_intel --noiopads"
commit
|
commitdiff
|
tree
2018-04-22
Clifford Wolf
Add $dlatch support to write_verilog
commit
|
commitdiff
|
tree
2018-04-18
Tim 'mithro...
Improving vpr output support.
commit
|
commitdiff
|
tree
2018-04-18
Tim 'mithro...
synth_ice40: Rework the vpr blif output slightly.
commit
|
commitdiff
|
tree
2018-04-16
Clifford Wolf
Add "synth_ice40 -nodffe"
commit
|
commitdiff
|
tree
2018-04-15
Clifford Wolf
Add "write_blif -inames -iattr"
commit
|
commitdiff
|
tree
2018-04-13
Clifford Wolf
Add statement labels for immediate assertions
commit
|
commitdiff
|
tree
2018-04-12
Clifford Wolf
Allow "property" in immediate assertions
commit
|
commitdiff
|
tree
2018-04-12
Clifford Wolf
Improve Makefile error handling for when abc/ is a...
commit
|
commitdiff
|
tree
2018-04-07
Clifford Wolf
Add PRIM_HDL_ASSERTION support to Verific importer
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Fix handling of $global_clocking in Verific
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add documentation for anyconst/anyseq/allconst/allseq...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add read_verilog anyseq/anyconst/allseq/allconst attrib...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add Verific anyseq/anyconst/allseq/allconst attribute...
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Add "verific -autocover"
commit
|
commitdiff
|
tree
2018-04-06
Clifford Wolf
Merge pull request #530 from makaimann/set-ram-flags
commit
|
commitdiff
|
tree
2018-04-06
makaimann
Set RAM runtime flags for Verific frontend
commit
|
commitdiff
|
tree
2018-04-05
Clifford Wolf
Added missing dont_use handling for SR FFs to dfflibmap
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Create issue_template.md
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Add smtio.py support for parsing SMT2 (_ bvX n) syntax...
commit
|
commitdiff
|
tree
2018-04-04
Clifford Wolf
Fixed -stbv handling in SMT2 back-end
commit
|
commitdiff
|
tree
2018-04-01
Clifford Wolf
Merge pull request #522 from c60k28/master
commit
|
commitdiff
|
tree
next