projects
/
yosys.git
/ shortlog
commit
grep
author
committer
pickaxe
?
search:
re
summary
| shortlog |
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
yosys.git
2019-05-08
Clifford Wolf
Remove added newline (by re-running minisat 00_UPDATE.sh)
commit
|
commitdiff
|
tree
2019-05-08
Clifford Wolf
Merge pull request #991 from kristofferkoch/gcc9-warnings
commit
|
commitdiff
|
tree
2019-05-08
Kristoffer...
Fix all warnings that occurred when compiling with...
commit
|
commitdiff
|
tree
2019-05-08
Clifford Wolf
Merge pull request #998 from mdaiter/get_bool_attribute...
commit
|
commitdiff
|
tree
2019-05-08
Matthew Daiter
Minor optimization to get_attribute_bool
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Add test case from #997
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Fix handling of partial init attributes in write_verilo...
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Merge pull request #996 from mdaiter/ceil_log2_opts
commit
|
commitdiff
|
tree
2019-05-07
Matthew Daiter
Optimize ceil_log2 function
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
Add "synth_xilinx -arch"
commit
|
commitdiff
|
tree
2019-05-07
Clifford Wolf
More opt_clean cleanups
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #946 from YosysHQ/clifford/specify
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #975 from YosysHQ/clifford/fix968
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #871 from YosysHQ/verific_import
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Add tests/various/chparam.sh
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys into...
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Fix the other bison warning in ilang_parser.y
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Bugfix in peepopt_shiftmul.pmg
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #992 from bwidawsk/bison-fix
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge pull request #989 from YosysHQ/dave/abc_name_improve
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Fix bug in "expose -input"
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Cleanups in opt_clean
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Improve tests/various/specify.ys
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Add "real" keyword to ilang format
commit
|
commitdiff
|
tree
2019-05-06
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys into...
commit
|
commitdiff
|
tree
2019-05-06
Ben Widawsky
verilog_parser: Fix Bison warning
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Merge pull request #988 from YosysHQ/clifford/fix987
commit
|
commitdiff
|
tree
2019-05-04
David Shah
abc: Fix handling of postfixed names (e.g. for retiming)
commit
|
commitdiff
|
tree
2019-05-04
David Shah
abc: Improve name recovery
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Improve opt_clean handling of unused wires
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Add support for SVA "final" keyword
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Improve write_verilog specify support
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Update README
commit
|
commitdiff
|
tree
2019-05-04
Clifford Wolf
Add approximate support for SV "var" keyword, fixes...
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
More testing
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Fix spacing
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Add quick-and-dirty specify tests
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Merge remote-tracking branch 'origin/master' into cliff...
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Rename cells_map.v to prevent clash with ff_map.v
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
iverilog with simcells.v as well
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Add "hierarchy -chparam" support for non-verific top...
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
log_warning_noprefix -> log_warning as per review
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
For hier_tree::Elaborate() also include SV root modules...
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Fix verific_parameters construction, use attribute...
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
WIP -chparam support for hierarchy when verific
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
verific_import() changes to avoid ElaborateAll()
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Merge pull request #969 from YosysHQ/clifford/pmgenstuff
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Merge pull request #984 from YosysHQ/eddie/fix_982
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Revert "synth_xilinx to call dffinit with -noreinit"
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
If init is 1'bx, do not add to dict as per @cliffordwolf
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
Revert "dffinit -noreinit to silently continue when...
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Merge pull request #976 from YosysHQ/clifford/fix974
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Merge pull request #985 from YosysHQ/clifford/fix981
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Fix typo in tests/svinterfaces/runone.sh
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Merge pull request #979 from jakobwenzel/svinterfacesTe...
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Improve opt_expr and opt_clean handling of (partially...
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Further improve unused-detection for opt_clean driver...
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Improve unused-detection for opt_clean driver-driver...
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Update pmgen documentation
commit
|
commitdiff
|
tree
2019-05-03
Clifford Wolf
Fix typo
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
synth_xilinx to call dffinit with -noreinit
commit
|
commitdiff
|
tree
2019-05-03
Eddie Hung
dffinit -noreinit to silently continue when init value...
commit
|
commitdiff
|
tree
2019-05-02
Jakob Wenzel
fail svinterfaces testcases on yosys error exit
commit
|
commitdiff
|
tree
2019-05-02
Clifford Wolf
Merge pull request #963 from YosysHQ/eddie/synth_xilinx...
commit
|
commitdiff
|
tree
2019-05-02
Eddie Hung
Merge pull request #978 from ucb-bar/fmtfirrtl
commit
|
commitdiff
|
tree
2019-05-02
Eddie Hung
Back to passing all xc7srl tests!
commit
|
commitdiff
|
tree
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/master' into eddie...
commit
|
commitdiff
|
tree
2019-05-01
Eddie Hung
Merge branch 'master' of github.com:YosysHQ/yosys
commit
|
commitdiff
|
tree
2019-05-01
Jim Lawson
Re-indent firrtl.cc:struct memory - no functional change.
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Merge branch 'clifford/fix883'
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Add missing enable_undef to "sat -tempinduct-def",...
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Merge pull request #977 from ucb-bar/fixfirrtlmem
commit
|
commitdiff
|
tree
2019-05-01
Jim Lawson
Fix #938 - Crash occurs in case when use write_firrtl...
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Fix floating point exception in qwp, fixes #923
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Add splitcmplxassign test case and silence splitcmplxas...
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Fix width detection of memory access with bit slice...
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Add additional test cases for for-loops
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Silently resolve completely unused cell-vs-const driver...
commit
|
commitdiff
|
tree
2019-05-01
Clifford Wolf
Re-enable "final loop assignment" feature
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Fix segfault in wreduce
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Disabled "final loop assignment" feature
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Merge pull request #972 from YosysHQ/clifford/fix968
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Merge pull request #966 from YosysHQ/clifford/fix956
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Merge pull request #962 from YosysHQ/eddie/refactor_syn...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Merge branch 'master' into eddie/refactor_synth_xilinx
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Merge pull request #973 from christian-krieg/feature...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Include filename in "Executing Verilog-2005 frontend...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Fix performance bug in RTLIL::SigSpec::operator==(...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Add final loop variable assignment when unrolling for...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Add handling of init attributes in "opt_expr -undriven"
commit
|
commitdiff
|
tree
2019-04-30
Benedikt Tutzer
Merge branch 'master' of https://github.com/YosysHQ...
commit
|
commitdiff
|
tree
2019-04-30
Benedikt Tutzer
Cleaned up root directory
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Add peepopt_muldiv, fixes #930
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
pmgen progress
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Run "peepopt" in generic "synth" pass and "synth_ice40"
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Some pmgen reorg, rename peepopt.pmg to peepopt_shiftmu...
commit
|
commitdiff
|
tree
2019-04-30
Clifford Wolf
Progress in shiftmul peepopt pattern
commit
|
commitdiff
|
tree
2019-04-29
Clifford Wolf
Merge pull request #960 from YosysHQ/eddie/equiv_opt_undef
commit
|
commitdiff
|
tree
2019-04-29
Clifford Wolf
Merge pull request #967 from olegendo/depfile_esc_spaces
commit
|
commitdiff
|
tree
2019-04-29
Clifford Wolf
Add "peepopt" skeleton
commit
|
commitdiff
|
tree
next