yosys.git
2018-10-20 Ruben UndheimFixed memory leak
2018-10-19 Clifford WolfMerge pull request #673 from daveshah1/ecp5_improve
2018-10-19 David Shahecp5: Sim model fixes
2018-10-19 David Shahecp5: Add latch inference
2018-10-19 Clifford WolfMerge pull request #672 from daveshah1/fix_bram
2018-10-19 David Shahmemory_bram: Reset make_outreg when growing read ports
2018-10-19 Clifford WolfMerge pull request #671 from rafaeltp/master
2018-10-19 Clifford WolfMerge pull request #670 from rubund/feature/basic_svint...
2018-10-18 rafaeltpadding offset info to memories
2018-10-18 rafaeltpadding offset info to memories
2018-10-18 Ruben UndheimBasic test for checking correct synthesis of SystemVeri...
2018-10-18 Clifford WolfUpdate ABC to git rev 14d985a
2018-10-18 Clifford WolfMerge pull request #659 from rubund/sv_interfaces
2018-10-18 Clifford WolfMerge pull request #657 from mithro/xilinx-vpr
2018-10-18 Clifford WolfMerge pull request #664 from tklam/ignore-verilog-protect
2018-10-17 Clifford WolfUpdate ABC to git rev c5b48bb
2018-10-17 Clifford WolfMinor code cleanups in liberty front-end
2018-10-17 Clifford WolfMerge pull request #660 from tklam/parse-liberty-detect...
2018-10-17 Clifford WolfMerge pull request #663 from aman-goel/master
2018-10-17 Clifford WolfMerge pull request #658 from daveshah1/ecp5_bram
2018-10-17 Clifford WolfMerge pull request #641 from tklam/master
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-16 Clifford WolfWe have 2018 now
2018-10-16 Clifford WolfAfter release is before release
2018-10-16 Clifford WolfMerge branch 'yosys-0.8-rc'
2018-10-16 Clifford WolfYosys 0.8 yosys-0.8
2018-10-16 argamaignore protect endprotect
2018-10-16 Clifford WolfUpdate command reference manual
2018-10-16 David Shahecp5: Disable LSR inversion
2018-10-15 Aman GoelMinor update
2018-10-13 Ruben UndheimHandle FIXME for modport members without type directly...
2018-10-13 Ruben UndheimDocumentation improvements etc.
2018-10-13 argamadetect ff/latch before processing other nodes
2018-10-13 tklamstop check_signal_in_fanout from traversing FFs
2018-10-13 tklamstop check_signal_in_fanout from traversing FFs
2018-10-13 tklamMerge branch 'master' of https://github.com/YosysHQ...
2018-10-12 Ruben UndheimFix build error with clang
2018-10-12 Ruben UndheimSupport for 'modports' for System Verilog interfaces
2018-10-12 Ruben UndheimSynthesis support for SystemVerilog interfaces
2018-10-12 David ShahBRAM improvements
2018-10-10 David Shahecp5: Adding BRAM maps for all size options
2018-10-10 David Shahecp5: First BRAM type maps successfully
2018-10-10 David Shahecp5: Script for BRAM IO connections
2018-10-09 David Shahecp5: Adding BRAM initialisation and config
2018-10-08 Tim 'mithro... xilinx: Still map LUT7/LUT8 to Xilinx specific primitives.
2018-10-07 Clifford WolfImprove Verific importer blackbox handling
2018-10-05 David Shahecp5: Add blackbox for DP16KD
2018-10-05 Clifford WolfMerge pull request #651 from ARandomOWL/stdcells_fix
2018-10-05 Clifford WolfAdd "write_edif -attrprop"
2018-10-05 Clifford WolfMerge pull request #654 from mithro/patch-1
2018-10-05 Clifford WolfFix compiler warning in verific.cc
2018-10-05 Tim AnsellFix misspelling in issue_template.md
2018-10-04 Adrian WheeldonFix IdString M in setup_stdcells()
2018-10-04 Clifford WolfAdd inout ports to cells_xtra.v
2018-10-04 Clifford WolfMerge pull request #650 from mithro/patch-1
2018-10-03 Tim Ansellxilinx: Adding missing inout IO port to IOBUF
2018-10-03 tklamMerge branch 'master' of https://github.com/YosysHQ...
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-02 Clifford WolfMerge pull request #646 from tomverbeure/issue594
2018-10-02 Tom VerbeureFix for issue 594.
2018-10-01 Aman GoelUpdate to .smv backend
2018-10-01 Dan GisselquistAdd read_verilog $changed support
2018-10-01 David Shahecp5: Don't map ROMs to DRAM
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-30 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-30 Clifford WolfFix handling of $past 2nd argument in read_verilog
2018-09-28 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-28 Clifford WolfUpdate to v2 YosysVS template
2018-09-26 tklamfix bug: pass by reference
2018-09-26 TK LamFix issue #639
2018-09-24 Udi FinkelsteinFixed issue #630 by fixing a minor typo in the previous...
2018-09-24 Clifford WolfAdd "read_verilog -noassert -noassume -assert-assumes"
2018-09-23 Clifford WolfAdded support for ommited "parameter" in Verilog-2001...
2018-09-23 Clifford WolfMerge branch 'master' of https://github.com/mmicko...
2018-09-23 Clifford WolfUpdate CHANGELOG
2018-09-21 Miodrag Milanovicadded prefix to FDirection constants, fixing windows...
2018-09-21 Clifford WolfUpdate CHANGLELOG
2018-09-21 Clifford WolfUpdate Changelog
2018-09-19 Clifford WolfMerge pull request #633 from mmicko/master
2018-09-19 Clifford WolfMerge pull request #631 from acw1251/master
2018-09-19 Miodrag MilanovicFix Cygwin build and document needed packages
2018-09-18 acw1251Fixed typo in "verilog_write" help message
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-17 Udi FinkelsteinFixed remaining cases where we check fo wire reg/wire...
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-14 Clifford WolfMerge pull request #627 from acw1251/master
2018-09-12 acw1251Fixed minor typo in "sim" help message
2018-09-11 Aman GoelMinor revision to -expose in setundef pass
2018-09-10 Clifford WolfAdd iCE40 SB_SPRAM256KA simulation model
2018-09-05 Clifford WolfAdd $lut support to Verilog back-end
2018-09-04 Clifford WolfAdd "verific -L <int>" option
2018-08-30 Clifford WolfAdd "make ystests"
2018-08-28 Miodrag MilanovićAdd GCC to osx deps (#620)
2018-08-28 Clifford WolfMerge pull request #619 from mmicko/master
2018-08-28 Miodrag MilanovicRemove mercurial, since it is not needed anymore
2018-08-28 Clifford WolfMerge pull request #618 from ucb-bar/firrtl+modules...
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonRemove unused functions.
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-27 Clifford WolfAdd "make coverage"
next