yosys.git
2020-09-10 Miodrag MilanovićMerge pull request #2369 from Xiretza/gitignores
2020-09-04 Yosys BotBump version
2020-09-03 whitequarkMerge pull request #2371 from whitequark/cxxrtl-debug...
2020-09-03 Yosys BotBump version
2020-09-02 whitequarkcxxrtl: expose driver kind in debug information.
2020-09-02 whitequarkcxxrtl: improve handling of FFs with async inputs ...
2020-09-02 whitequarkcxxrtl: expose port direction in debug information.
2020-09-02 whitequarkcxxrtl: fix typo in comment. NFC.
2020-09-02 whitequarkcxxrtl: fix inaccuracy in CXXRTL_ALIAS documentation...
2020-09-02 Miodrag MilanovicUse latest verific
2020-09-02 Yosys BotBump version
2020-09-01 clairexenMerge pull request #2352 from zachjs/const-func-localparam
2020-09-01 clairexenMerge pull request #2366 from zachjs/library-format
2020-09-01 clairexenMerge pull request #2353 from zachjs/top-scope
2020-09-01 clairexenMerge pull request #2365 from zachjs/const-arg-loop...
2020-09-01 Yosys BotBump version
2020-08-31 XiretzaAdd missing gitignores for test artifacts
2020-08-31 Miodrag MilanovicReorder to prevent crash
2020-08-31 clairexenMerge pull request #2368 from YosysHQ/verific_portrange
2020-08-30 Miodrag Milanovicast recognize lower case x and z and verific gives...
2020-08-30 Miodrag MilanovicDo not check for 1 and 0 only
2020-08-30 Miodrag MilanovicFix import of VHDL enums
2020-08-30 Yosys BotBump version
2020-08-29 whitequarkwrite_smt2: fix SMT-LIB tutorial URL
2020-08-29 Zachary SnowSimple support for %l format specifier
2020-08-29 Zachary SnowFix constant args used with function ports split across...
2020-08-29 Yosys BotBump version
2020-08-28 Dan Ravensloftintel_alm: better map wide but shallow multiplies
2020-08-28 Yosys BotBump version
2020-08-27 Miodrag MilanovićMerge pull request #2364 from whitequark/manual-typo
2020-08-27 whitequarkmanual: fix typo.
2020-08-27 whitequarkMerge pull request #2357 from whitequark/cxxflags-MP
2020-08-27 whitequarkMerge pull request #2356 from whitequark/flatten-techma...
2020-08-27 whitequarkMerge pull request #2358 from whitequark/rename-ilang...
2020-08-27 Marcelina Kościelnickadfflegalize: Fix decision tree for adffe.
2020-08-27 Yosys BotBump version
2020-08-26 Dan Ravensloftintel_alm: Add multiply signedness to cells
2020-08-26 whitequarkReplace "ILANG" with "RTLIL" everywhere.
2020-08-26 whitequarkAdd -MP to CXXFLAGS.
2020-08-26 whitequarkflatten, techmap: don't canonicalize tpl driven bits...
2020-08-26 Miodrag MilanovićMerge pull request #2355 from YosysHQ/verific_improvements
2020-08-26 Miodrag MilanovicAdd formal apps and template generators
2020-08-26 whitequarkMerge pull request #2351 from pbsds/proc_nomux
2020-08-23 Yosys BotBump version
2020-08-22 clairexenMerge pull request #2349 from nmoroze/smt2-bugfix
2020-08-21 Zachary SnowModule name scope support
2020-08-21 Zachary SnowAllow localparams in constant functions
2020-08-21 Yosys BotBump version
2020-08-20 Marcelina Kościelnickasynth_intel: Remove incomplete Arria 10 GX support.
2020-08-20 Peder Bergebakken... proc: Add -nomux switch
2020-08-20 Noah MorozeEnsure smt2 comments are associated with accessors
2020-08-20 Dan Ravensloftintel: move Cyclone V support to intel_alm
2020-08-20 clairexenMerge pull request #2347 from YosysHQ/mwk/techmap-shift...
2020-08-20 clairexenMerge pull request #2344 from YosysHQ/mwk/opt_share...
2020-08-20 clairexenMerge pull request #2337 from YosysHQ/mwk/clean-keep...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
2020-08-20 clairexenMerge pull request #2327 from YosysHQ/mwk/techmap-const...
2020-08-20 clairexenMerge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
2020-08-20 clairexenMerge pull request #2319 from YosysHQ/mwk/techmap-cellt...
2020-08-20 Marcelina Kościelnickatechmap/shift_shiftx: Remove the "shiftx2mux" special...
2020-08-20 Yosys BotBump version
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-19 Yosys BotBump version
2020-08-18 XiretzaEnsure \A_SIGNED is never used with $shiftx
2020-08-18 XiretzaRespect \A_SIGNED for $shift
2020-08-18 N. Engelhardtinclude both power-of-two and non-power-of-two testcases
2020-08-18 clairexenMerge pull request #2339 from zachjs/display-format-0s
2020-08-18 clairexenMerge pull request #2338 from zachjs/const-branch-finish
2020-08-18 clairexenMerge pull request #2317 from zachjs/expand-genblock
2020-08-18 Claire WolfMerge branch 'zachjs-const-func-block-var'
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-08-17 Marcelina Kościelnickaopt_share: Refactor, fix some bugs.
2020-08-14 Yosys BotBump version
2020-08-13 Dan Ravensloftintel_alm: fix typo in MISTRAL_MUL27X27 cell name
2020-08-13 Yosys BotBump version
2020-08-12 whitequarkMerge pull request #2340 from andy-knowles/cxxrtl-fix...
2020-08-12 Andy Knowlescxxrtl.h: Fix incorrect CarryOut in alu()
2020-08-12 Dan Ravensloftintel_alm: add more megafunctions. NFC.
2020-08-12 Andy Knowlescxxrtl.h: Fix incorrect CarryOut in alu when Bits ...
2020-08-10 Yosys BotBump version
2020-08-09 Zachary SnowPropagate const_fold through generate blocks and branches
2020-08-09 Zachary SnowAllow %0s $display format specifier
2020-08-09 Marcelina Kościelnickaopt_clean: Fix module keep rules.
2020-08-07 Marcelina KościelnickaRemove now-redundant dff2dffe pass.
2020-08-07 Marcelina KościelnickaRemove now-redundant dff2dffs pass.
2020-08-07 Marcelina Kościelnickapeepopt: Remove now-redundant dffmux pattern.
2020-08-07 Marcelina KościelnickaRemove now-redundant opt_rmdff pass.
2020-08-07 Marcelina KościelnickaReplace opt_rmdff with opt_dff.
2020-08-05 Marcelina Kościelnickapeeopt.shiftmul: Add a signedness check.
2020-08-05 Marcelina Kościelnickatechmap.CONSTMAP: Handle outputs before inputs.
2020-08-04 Marcelina Kościelnickapeepopt.muldiv: Add a signedness check.
2020-08-02 Marcelina Kościelnickatechmap: Add support for [] wildcards in techmap_celltype.
2020-08-01 Zachary SnowFix generate scoping issues
2020-07-31 Claire WolfBump YOSYS_VER
2020-07-30 Marcelina KościelnickaAdd dffunmap pass.
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_ice40: Use opt_dff.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
next