yosys.git
2019-05-27 Eddie HungRemove mapped_mod when done
2019-05-27 Eddie HungInstantiate cell type (from sym file) otherwise 'clean...
2019-05-27 Miodrag Milanovicmake config-afl-gcc to help creating conf file
2019-05-27 Eddie HungAdd 'cinput' and 'coutput' to symbols file for boxes
2019-05-27 Miodrag MilanovicAdded afl-gcc as target for fuzzer
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Stefan Biereigelreformat wand/wor test
2019-05-27 Stefan Biereigelremove port direction workaround from test case
2019-05-27 Stefan Biereigelupdate README.md with wand/wor information
2019-05-27 Stefan Biereigelremove leftovers from ast data structures
2019-05-27 Stefan Biereigelmove wand/wor resolution into hierarchy pass
2019-05-27 Clifford WolfMerge pull request #1044 from mmicko/invalid_width_range
2019-05-27 Clifford WolfMerge pull request #1043 from mmicko/unsized_constant
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-27 Miodrag MilanovicGive error instead of asserting for invalid range,...
2019-05-27 Miodrag MilanovicAdded support for unsized constants, fixes #1022
2019-05-27 Kaj TuomiGuard all Python-api related items.
2019-05-26 Eddie HungFix "a" connectivity
2019-05-26 Eddie HungBlackboxes
2019-05-26 Eddie HungABC9 to call &sweep
2019-05-26 Eddie HungFix padding, remove CIs from undriven_bits before erasi...
2019-05-26 Eddie HungTypo
2019-05-26 Eddie HungMuck about with LUT delays some more
2019-05-26 Eddie HungCombine ABC_COMMAND_LUT
2019-05-26 Eddie HungFix "a" extension
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-26 Clifford WolfMerge pull request #1042 from mmicko/git_ignore_python
2019-05-26 Miodrag MilanovicAdd files to ignore for python build
2019-05-26 Eddie HungFix "write_xaiger", and to write each box contents...
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-25 Eddie HungRevert enable check
2019-05-25 Clifford WolfMerge pull request #1041 from YosysHQ/clifford/fix1040
2019-05-25 Clifford WolfFix handling of offset and upto module ports in write_b...
2019-05-25 Eddie HungTry new LUT delays
2019-05-25 Eddie HungFix init
2019-05-25 Eddie HungFix typos
2019-05-25 Eddie HungAdd more tests
2019-05-25 Eddie HungCall proc
2019-05-25 Eddie Hungopt_rmdff to optimise even in presence of enable signal...
2019-05-25 Eddie HungFix duplicate driver
2019-05-24 Eddie HungAdd comments
2019-05-24 Eddie HungResolve @cliffordwolf review, set even if !has_init
2019-05-24 Eddie HungAdd &fraig and &mfs back
2019-05-24 Eddie HungTranspose CARRY4 delays
2019-05-24 Clifford WolfAdd proper error message for btor recursion_guard
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-23 Eddie HungMerge pull request #1036 from YosysHQ/eddie/xilinx_dram
2019-05-23 Eddie HungFix spacing
2019-05-23 Eddie HungAdd "min bits" and "min wports" to xilinx dram rules
2019-05-23 Eddie HungAdd opt_rmdff tests
2019-05-23 Eddie Hungopt_rmdff to work on $dffe and $_DFFE_*
2019-05-23 Eddie HungAdd whitebox support to DRAM
2019-05-23 Stefan Biereigelfix assignment of non-wires
2019-05-23 Stefan Biereigeladd simple test case for wand/wor
2019-05-23 Stefan Biereigelfix indentation across files
2019-05-23 Stefan Biereigelimplementation for assignments working
2019-05-23 Stefan Biereigelmake lexer/parser aware of wand/wor net types
2019-05-23 Clifford WolfMerge pull request #1031 from mdaiter/optimizeLookupTab...
2019-05-22 Matthew DaiterOptimize numberOfPermutations
2019-05-22 Kaj TuomiOS X related fixes.
2019-05-22 Clifford WolfKeep zero-width wires in opt_clean if and only if they...
2019-05-22 Clifford WolfFix handling of warning and error messages within log_m...
2019-05-22 Clifford WolfMerge pull request #1019 from YosysHQ/clifford/fix1016
2019-05-22 Clifford WolfMerge pull request #1021 from ucb-bar/fixfirrtl_shr,neg
2019-05-22 Eddie Hungshift register inference before mux
2019-05-22 Eddie HungFix/workaround symptom unveiled by #1023
2019-05-22 Eddie HungMerge pull request #1024 from YosysHQ/eddie/fix_Wmissin...
2019-05-22 Eddie HungRename label
2019-05-22 Eddie HungTry again
2019-05-21 Eddie HungFix warning
2019-05-21 Eddie HungInstead of MUXCY/XORCY use CARRY4 (with timing)
2019-05-21 Eddie HungPad all boxes so that all input/output connections...
2019-05-21 Eddie HungModify LUT area cost to be same as old abc
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonFix static shift operands, neg result type, minor forma...
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-20 Clifford WolfAdd "wreduce -keepdc", fixes #1016
2019-05-18 Clifford WolfMerge pull request #1017 from Kmanfi/bigger_verilog_files
2019-05-18 Kaj TuomiRead bigger Verilog files.
2019-05-16 Clifford WolfMerge pull request #1013 from antmicro/parameter_attributes
2019-05-16 Maciej KurcAdded tests for Verilog frontent for attributes on...
2019-05-16 Maciej KurcAdded support for parsing attributes on parameters...
2019-05-15 Clifford WolfMerge pull request #1012 from YosysHQ/clifford/sigspecrw
2019-05-15 Clifford WolfImprovements in opt_clean
2019-05-15 Clifford WolfAdd rewrite_sigspecs2, Improve remove() wires
2019-05-15 Clifford WolfDo not leak file descriptors in cover.cc
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 Clifford WolfMerge pull request #1010 from hzeller/yacc-self-contained
2019-05-15 Clifford WolfMerge pull request #1008 from thasti/fix_libyosys_build
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-15 Henner ZellerFix two instances of integer-assignment to string.
2019-05-15 Henner ZellerMake the generated *.tab.hh include all the headers...
2019-05-14 Stefan Biereigelextract python prefix to allow overriding
2019-05-14 Stefan Biereigelremove ldconfig call
2019-05-14 Stefan Biereigeladd mkdir for libyosys target, explicitly copy to targe...
2019-05-14 whitequarkbugpoint: check for -script option.
2019-05-13 Sylvain Munautice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
2019-05-12 Clifford WolfMerge pull request #1004 from YosysHQ/clifford/fix1002
next