yosys.git
2019-09-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-05 Eddie HungDo not check signedness of post-adder (assume taken...
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-05 Eddie HungUse filter instead of index; support wide enable muxes
2019-09-05 Eddie HungDo not make ff[MP]mux semioptional, use sigmap
2019-09-05 Eddie HungAdd support for CEP
2019-09-05 Eddie HungAdd support for CEB, remove check on nusers
2019-09-05 Eddie HungCleanup
2019-09-05 Eddie HungSupport CEA
2019-09-05 Clifford WolfBump version
2019-09-05 Clifford WolfMerge pull request #1350 from YosysHQ/clifford/fixsby59
2019-09-05 Clifford WolfMerge pull request #1330 from YosysHQ/clifford/fix1145
2019-09-05 Clifford WolfUpdate README.md
2019-09-05 Clifford WolfRename conflicting wires on flatten/techmap, add "hierc...
2019-09-05 Clifford WolfAdd flatten handling of pre-existing wires as created...
2019-09-05 Eddie HungGet rid of sigBset too
2019-09-05 whitequarkMerge pull request #1356 from emilazy/fix-makefile...
2019-09-05 Eddie HungGet rid of sigAset
2019-09-05 Eddie HungGet rid of sigPused
2019-09-04 Eddie HungCompute sigP properly
2019-09-04 EmilyUse $(shell :; ...) in Makefile to force shell
2019-09-04 Eddie HungResolve TODO with pin assignments for SRL*
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-04 Eddie HungAdd peepopt_dffmuxext
2019-09-04 Eddie HungAdd peepopt_dffmuxext tests
2019-09-04 whitequarkMerge pull request #1354 from emilazy/remove-which-use
2019-09-04 EmilyReplace `which` with `command -v` in Makefile too
2019-09-04 Eddie HungMerge pull request #1338 from YosysHQ/eddie/deferred_top
2019-09-04 Eddie HungSupport CEM
2019-09-03 Eddie Hungst.ffP from if to assert
2019-09-03 Eddie HungRename muxAB to postAddMux
2019-09-03 Eddie HungUse choices for addAB, now called postAdd
2019-09-03 Eddie HungAdd support for load value into DSP48E1.P
2019-09-03 Eddie HungProcess post-adder first since C could be used for...
2019-09-03 Eddie HungUse feedback path for MACC
2019-09-03 Eddie HungAdopt @cliffordwolf's suggestion
2019-09-03 Eddie HungExpand test with `hierarchy' without -auto-top
2019-09-03 Eddie HungAdd `read -noverific` before read
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Clifford WolfProperly construct $live and $fair cells from "if ...
2019-09-02 Eddie HungAdd comments
2019-09-02 Eddie HungRename box
2019-09-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-02 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-09-01 Clifford WolfMerge pull request #1347 from mmicko/fix_select_error_msg
2019-09-01 David ShahMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
2019-09-01 Miodrag MilanovicFix select command error msg, fixes issue #1081
2019-08-31 Miodrag MilanovicFix TRELLIS_FF simulation model
2019-08-31 David Shahecp5_gsr: Fix typo
2019-08-31 Eddie HungMake abc9 test a bit more interesting
2019-08-31 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-08-30 Eddie HungRemove trailing space
2019-08-30 Eddie HungFine tune xilinx_dsp pattern matcher
2019-08-30 Eddie HungAdd macc test, with equiv_opt not currently passing
2019-08-30 Eddie Hungautoremove ffM
2019-08-30 Eddie HungRemove debug
2019-08-30 Eddie HungffM before addAB
2019-08-30 Eddie HungAnother oops
2019-08-30 Eddie HungUpdate commented out
2019-08-30 Eddie HungUpdate test for ffM
2019-08-30 Eddie HungAdd support for ffM
2019-08-30 Eddie HungUpdate comment
2019-08-30 Eddie HungAdd mul_unsigned test
2019-08-30 Eddie HungMissing dep for test_pmgen
2019-08-30 Eddie HungMissing dep for test_pmgen
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungImprove tests/ice40/macc.ys for SB_MAC16
2019-08-30 Eddie HungDo not restrict multiplier to unsigned
2019-08-30 Eddie HungNew pmgen requires explicit accept
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge branch 'eddie/xilinx_srl' into xaig_arrival
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungFormat `-pwires`
2019-08-30 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 SergeyDegtyarmacc test fix
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-30 David ShahMerge pull request #1343 from whitequark/diamond-ffs
2019-08-30 David Shahecp5: Add simulation equivalence check for Diamond...
2019-08-30 SergeyDegtyarFix macc test
2019-08-30 SergeyDegtyardiv_mod test fix
2019-08-30 SergeyDegtyarfix div_mod test
2019-08-30 whitequarkecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.
2019-08-30 whitequarkecp5: allow (and enable by default) GSR on FD/IFS/OFS...
2019-08-30 whitequarkecp5: add missing FD primitives.
2019-08-30 whitequarkecp5: fix CEMUX on IFS/OFS primitives.
2019-08-30 SergeyDegtyarFix test for counter
2019-08-30 SergeyMerge branch 'master' into master
2019-08-30 SergeyDegtyarAdd new tests.
2019-08-30 SergeyDegtyarRemove unnecessary common.v(assertions for testbenches).
next