yosys.git
2018-04-30 Clifford WolfUpdate ABC to git rev f23ea8e
2018-04-30 Clifford WolfAdd "synth_intel --noiopads"
2018-04-22 Clifford WolfAdd $dlatch support to write_verilog
2018-04-16 Clifford WolfAdd "synth_ice40 -nodffe"
2018-04-15 Clifford WolfAdd "write_blif -inames -iattr"
2018-04-13 Clifford WolfAdd statement labels for immediate assertions
2018-04-12 Clifford WolfAllow "property" in immediate assertions
2018-04-12 Clifford WolfImprove Makefile error handling for when abc/ is a...
2018-04-07 Clifford WolfAdd PRIM_HDL_ASSERTION support to Verific importer
2018-04-06 Clifford WolfFix handling of $global_clocking in Verific
2018-04-06 Clifford WolfAdd documentation for anyconst/anyseq/allconst/allseq...
2018-04-06 Clifford WolfAdd read_verilog anyseq/anyconst/allseq/allconst attrib...
2018-04-06 Clifford WolfAdd Verific anyseq/anyconst/allseq/allconst attribute...
2018-04-06 Clifford WolfAdd "verific -autocover"
2018-04-06 Clifford WolfMerge pull request #530 from makaimann/set-ram-flags
2018-04-06 makaimannSet RAM runtime flags for Verific frontend
2018-04-05 Clifford WolfAdded missing dont_use handling for SR FFs to dfflibmap
2018-04-04 Clifford WolfCreate issue_template.md
2018-04-04 Clifford WolfAdd smtio.py support for parsing SMT2 (_ bvX n) syntax...
2018-04-04 Clifford WolfFixed -stbv handling in SMT2 back-end
2018-04-01 Clifford WolfMerge pull request #522 from c60k28/master
2018-04-01 c60k28Fixed broken Quartus backend on dffeas init value ...
2018-03-31 Clifford WolfRemove left-over log_ping debug commands.. oops.
2018-03-31 Clifford WolfMerge pull request #521 from azonenberg/for_clifford
2018-03-31 Robert Oucoolrunner2: Add an ANDTERM/XOR between chained FFs
2018-03-31 Robert Oucoolrunner2: Split multi-bit nets
2018-03-31 Robert Oucoolrunner2: Add extraction for TFFs
2018-03-29 Clifford WolfAdd smtio status msgs when --progress is inactive
2018-03-29 Clifford WolfBugfix in smtio.py VCD file generator
2018-03-29 Clifford WolfRemoved $timescale from "sat" command VCD writer
2018-03-27 Clifford WolfSet stack size to at least 128 MB (large stack needed...
2018-03-27 Clifford WolfFix tests/simple/specify.v
2018-03-27 Udi FinkelsteinFirst draft of Verilog parser support for specify block...
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-27 Clifford WolfChenged "extensions_map" to "extensions_list" in hierar...
2018-03-27 Clifford WolfMerge pull request #518 from xerpi/master
2018-03-27 Sergi Granellpasses/hierarchy: Reduce code duplication in expand_module
2018-03-27 Clifford WolfAdd $mem support to SMT2 clock tagging
2018-03-26 Clifford WolfFix build for new ABC location on github, also update...
2018-03-26 Clifford WolfAdd .sv support to "hierarchy -libdir"
2018-03-26 Clifford WolfFix handling of unclocked immediate assertions in Verif...
2018-03-20 Edmond CoteRename rename to renames
2018-03-17 Clifford WolfImprove yosys-smtbmc log output and error handling
2018-03-17 Clifford WolfImprove handling of invalid check-sat result in smtio.py
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfAdd todo for more features to verificsva.cc
2018-03-15 Clifford WolfImprove import of memories via Verific
2018-03-14 Clifford WolfFix handling of SV compilation units in Verific front-end
2018-03-12 Clifford WolfAdd "expose -input"
2018-03-12 Clifford WolfAdd "setundef -undef"
2018-03-11 Larry DoolittleSquelch trailing whitespace, including meta-whitespace
2018-03-11 Larry DoolittleHarmonize uses of _WIN32 macro
2018-03-10 Clifford WolfFix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
2018-03-10 Clifford WolfFix variable name typo in verificsva.cc
2018-03-10 Clifford WolfAdd support for trivial SVA sequences and properties
2018-03-10 Clifford WolfFix handling of src attributes in flatten
2018-03-08 Clifford WolfRemove debug prints from yosys-smtbmc VCD writer
2018-03-08 Clifford WolfUse Verific hier_tree component for elaboration
2018-03-07 Clifford WolfCheck results of (check-sat) in yosys-smtbmc
2018-03-07 Clifford WolfFix Verific handling of "assert property (..);" in...
2018-03-07 Clifford WolfAdd "verific -import -V"
2018-03-07 Clifford WolfSet Verific db_preserve_user_nets flag
2018-03-07 Clifford WolfAdd Xilinx RAM64X1D and RAM128X1D simulation models
2018-03-06 Clifford WolfAdd "memory_nordff" pass
2018-03-06 Clifford WolfUpdate comment about supported SVA in verificsva.cc
2018-03-06 Clifford WolfAdd SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support
2018-03-06 Clifford WolfAdd SVA first_match() support
2018-03-06 Clifford WolfAdd SVA within support
2018-03-06 Clifford WolfAdd support for SVA sequence intersect
2018-03-06 Clifford WolfAdd get_fsm_accept_reject for parsing SVA properties
2018-03-06 Clifford WolfSimplified SVA "until" handling
2018-03-05 Clifford WolfImporove yosys-smtbmc error handling, Improve VCD output
2018-03-04 Clifford WolfFix connwrappers help message
2018-03-04 Clifford WolfImprove handling of warning messages
2018-03-04 Clifford WolfUpdate copyright header
2018-03-04 Clifford WolfImprove SMT2 encoding of $reduce_{and,or,bool}
2018-03-04 Clifford WolfFix a hangup in yosys-smtbmc error handling
2018-03-04 Clifford WolfAdd proper SVA seq.triggered support
2018-03-04 Clifford WolfAdd "synth -noshare"
2018-03-04 Clifford WolfAdd Verific SVA support for "seq and seq" expressions
2018-03-04 Clifford WolfRefactor Verific SVA importer property parser
2018-03-04 Clifford WolfAdd VerificClocking class and refactor Verific DFF...
2018-03-03 Clifford WolfImproved error handling in yosys-smtbmc
2018-03-03 Clifford WolfAdd SVA support for sequence OR
2018-03-03 Clifford WolfTerminate running SMT solver when smtbmc is terminated
2018-03-03 Clifford WolfFix smtbmc smtc/aiw parser for wire names containing []
2018-03-02 Clifford WolfFix handling of SVA "until seq.triggered" properties
2018-03-02 Clifford WolfUpdate SVA cheat sheet in verificsva.cc
2018-03-01 Clifford WolfFix in Verific SVA importer handling of until_with
2018-03-01 Clifford WolfMangle names with square brackets in VCD files to work...
2018-03-01 Clifford WolfFixes and improvements in Verific SVA importer
2018-03-01 Clifford WolfAdd $rose/$fell support to Verific bindings
2018-02-28 Clifford WolfMerge branch 'verificsva-ng'
2018-02-28 Clifford WolfAdd support for PRIM_SVA_UNTIL to new SVA importer
2018-02-28 Clifford WolfAdd DFSM generator to verific SVA importer
2018-02-28 Clifford WolfContinue refactoring of Verific SVA importer code
2018-02-27 Clifford WolfMajor redesign of Verific SVA importer
2018-02-27 Clifford WolfAdd -lz for verific builds
2018-02-26 Clifford WolfAdd handling of verific OPER_REDUCE_NOR
next