yosys.git
2019-09-20 Clifford WolfMerge pull request #1386 from YosysHQ/clifford/fix1360
2019-09-20 Clifford WolfFix handling of read_verilog config in AstModule::repro...
2019-09-20 Clifford WolfUpdate CHANGELOG
2019-09-20 Clifford WolfAdd "add -mod"
2019-09-20 Clifford WolfMerge pull request #1384 from YosysHQ/clifford/fix1381
2019-09-19 Clifford WolfAdd techmap_autopurge attribute, fixes #1381
2019-09-19 Marcin KościelnickiUse extractinv for synth_xilinx -ise
2019-09-19 Marcin KościelnickiAdded extractinv pass
2019-09-18 Eddie HungDocument (* gentb_skip *) attr for test_autotb
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-18 Eddie HungMerge pull request #1379 from mmicko/sim_models
2019-09-18 Miodrag Milanovicmake note that it is for latch mode
2019-09-18 Miodrag Milanovicbetter lut handling
2019-09-18 Miodrag Milanovicbetter handling of lut and begin/end add
2019-09-18 Clifford WolfAdd "write_aiger -L"
2019-09-18 Clifford WolfFix stupid bug in btor back-end
2019-09-16 Clifford WolfBump version
2019-09-16 Clifford WolfMerge pull request #1380 from YosysHQ/clifford/fix1372
2019-09-16 Clifford WolfFix handling of range selects on loop variables, fixes...
2019-09-15 Eddie HungMerge pull request #1374 from YosysHQ/eddie/fix1371
2019-09-15 Marcin Kościelnickixilinx: Make blackbox library family-dependent.
2019-09-15 Clifford WolfMerge pull request #1377 from YosysHQ/clifford/fixzdigit
2019-09-15 Miodrag MilanovicAdded simulation models for Efinix and Anlogic
2019-09-14 Eddie HungOops
2019-09-13 Eddie HungAdd counter-example from @cliffordwolf
2019-09-13 Eddie HungRevert "Make one check $shift(x)? only; change testcase...
2019-09-13 Eddie HungSpacing
2019-09-13 Eddie HungExplicitly order function arguments
2019-09-13 Eddie HungUse template specialisation
2019-09-13 Eddie HungRevert "SigSet<Cell*> to use stable compare class"
2019-09-13 Clifford WolfFix handling of z_digit "?" and fix optimization of...
2019-09-13 Clifford WolfMerge pull request #1373 from YosysHQ/clifford/fix1364
2019-09-13 Clifford WolfFix lexing of integer literals without radix
2019-09-12 Eddie HungGrammar
2019-09-12 Eddie Hungstatic_assert to enforce this going forward
2019-09-12 Eddie HungSigSet<Cell*> to use stable compare class
2019-09-12 David ShahMerge pull request #1370 from YosysHQ/dave/equiv_opt_mu...
2019-09-12 Clifford WolfFix lexing of integer literals, fixes #1364
2019-09-11 Eddie HungTidy up
2019-09-11 Eddie HungFix UB
2019-09-11 Eddie HungCope with presence of reset muxes too
2019-09-11 Eddie HungCleanup
2019-09-11 Eddie HungAdd more tests
2019-09-11 Eddie HungOnly display log message if did_something
2019-09-11 Marcin KościelnickiAdd -match-init option to dff2dffs.
2019-09-11 David ShahAdd equiv_opt -multiclock
2019-09-11 David ShahMerge pull request #1362 from xobs/smtbmc-msvc2-build...
2019-09-11 Eddie HungRename dffmuxext -> dffmux, also remove constants in...
2019-09-11 Eddie Hungproc instead of prep
2019-09-11 Eddie HungAdd unsigned case
2019-09-10 Clifford WolfBump version
2019-09-10 Sean Crosstests: ice40: fix div_mod SB_LUT4 count
2019-09-09 Eddie HungFix misspelling
2019-09-09 Sean Crosspasses: opt_share: don't statically initialize mergeabl...
2019-09-08 Sean Crossmsys2: launcher: fix warnings and errors under g++
2019-09-08 Sean Crossbackends: smt2: use $(CXX) variable for compiler
2019-09-07 Marcin Kościelnickisynth_xilinx: Support init values on Spartan 6 flip...
2019-09-07 Marcin Kościelnickitechmap: Add support for extracting init values of...
2019-09-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-07 Eddie HungAdd missing -assert to equiv_opt
2019-09-07 Eddie HungMissing equiv_opt -assert
2019-09-07 Eddie HungMake one check $shift(x)? only; change testcase to...
2019-09-07 Eddie HungUsee equiv_opt -assert
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-05 Clifford WolfBump version
2019-09-05 Clifford WolfMerge pull request #1350 from YosysHQ/clifford/fixsby59
2019-09-05 Clifford WolfMerge pull request #1330 from YosysHQ/clifford/fix1145
2019-09-05 Eddie Hungsimple/peepopt.v tests to various/peepopt.ys with equiv...
2019-09-05 Eddie HungRevert "abc9 followed by clean otherwise netlist could...
2019-09-05 Clifford WolfUpdate README.md
2019-09-05 Clifford WolfRename conflicting wires on flatten/techmap, add "hierc...
2019-09-05 Clifford WolfAdd flatten handling of pre-existing wires as created...
2019-09-05 whitequarkMerge pull request #1356 from emilazy/fix-makefile...
2019-09-04 EmilyUse $(shell :; ...) in Makefile to force shell
2019-09-04 Eddie HungResolve TODO with pin assignments for SRL*
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 Eddie HungRevert "parse_xaiger() to do "clean -purge""
2019-09-04 Eddie Hungabc9 followed by clean otherwise netlist could be inval...
2019-09-04 Eddie HungRemove log_cell() calls
2019-09-04 Eddie HungAdd peepopt_dffmuxext
2019-09-04 Eddie HungAdd peepopt_dffmuxext tests
2019-09-04 whitequarkMerge pull request #1354 from emilazy/remove-which-use
2019-09-04 EmilyReplace `which` with `command -v` in Makefile too
2019-09-04 Eddie HungMerge pull request #1338 from YosysHQ/eddie/deferred_top
2019-09-03 Eddie HungAdopt @cliffordwolf's suggestion
2019-09-03 Eddie HungExpand test with `hierarchy' without -auto-top
2019-09-03 Eddie HungAdd `read -noverific` before read
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-03 Clifford WolfMerge pull request #1351 from emilazy/remove-which-use
2019-09-02 EmilyUse `command -v` rather than `which`
2019-09-02 Clifford WolfProperly construct $live and $fair cells from "if ...
2019-09-02 Eddie HungAdd comments
2019-09-02 Eddie HungRename box
2019-09-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-02 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-02 Eddie HungRecognise built-in types (e.g. $_DFF_*)
2019-09-01 Eddie HungMerge pull request #1344 from YosysHQ/eddie/ice40_signe...
2019-09-01 Clifford WolfMerge pull request #1347 from mmicko/fix_select_error_msg
2019-09-01 David ShahMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
2019-09-01 Miodrag MilanovicFix select command error msg, fixes issue #1081
next